又粗又硬又大又爽免费视频播放,国产偷国产偷精品高清尤物,裸体女人高潮A片裸交,成人夜色视频网站在线观看

vhdl語(yǔ)言范文10篇

時(shí)間:2024-08-15 14:07:40 23

vhdl語(yǔ)言Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第1篇

關(guān)鍵詞: VHDL數(shù)字電路設(shè)計(jì)流程Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL是超高速集成電路硬件描述語(yǔ)言(Very High Speed Integrated circuit Hardware Description Language)的英文縮寫(xiě)。它的開(kāi)發(fā)始于美國(guó)國(guó)防部1981年的超高速集成電路計(jì)劃,其目的是為了給出一種與工藝無(wú)關(guān)的、支持大規(guī)模系統(tǒng)設(shè)計(jì)的標(biāo)準(zhǔn)方法和手段。通過(guò)不斷的發(fā)展和完善,VHDL于1987年成為IEFE的一種標(biāo)準(zhǔn)語(yǔ)言。VHDL是一種面向設(shè)計(jì)的、多領(lǐng)域、多層次的IEEE標(biāo)準(zhǔn)硬件描述語(yǔ)言,是目前十分流行的硬件描述工具,并且被大多數(shù)EDA工具支持。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.VHDL的特點(diǎn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.1功能強(qiáng)大。與其它的硬件描述語(yǔ)言相比,VHDL具有更強(qiáng)的描述能力和語(yǔ)言結(jié)構(gòu),可以用簡(jiǎn)潔的源代碼描述復(fù)雜的邏輯控制。它具有多層次的設(shè)計(jì)描述功能,層層細(xì)化,最后直接生成電路級(jí)描述。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.2系統(tǒng)硬件描述能力強(qiáng)。VHDL具有豐富的數(shù)據(jù)類(lèi)型、豐富的仿真語(yǔ)句和庫(kù)函數(shù),它在任何大系統(tǒng)的設(shè)計(jì)早期就能查驗(yàn)設(shè)計(jì)系統(tǒng)功能的可行性,隨時(shí)可對(duì)設(shè)計(jì)進(jìn)行仿真模擬。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.3設(shè)計(jì)與工藝無(wú)關(guān)。用VHDL進(jìn)行硬件電路設(shè)計(jì)時(shí),我們并不需要首先考慮選擇完成設(shè)計(jì)的器件。VHDL的硬件描述與具體的工藝和硬件結(jié)構(gòu)無(wú)關(guān),因此VHDL設(shè)計(jì)程序的硬件實(shí)現(xiàn)目標(biāo)器件有廣闊的選擇范圍。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.4設(shè)計(jì)方法靈活,易于修改。VHDL語(yǔ)言標(biāo)準(zhǔn)、規(guī)范,大多數(shù)EDA工具都支持VHDL。在硬件設(shè)計(jì)過(guò)程中,用VHDL語(yǔ)言編寫(xiě)的源程序便于管理,VHDL易讀、結(jié)構(gòu)模塊化、方便修改、交流和保存。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.5支持廣泛,移植能力強(qiáng)。VHDL是一個(gè)標(biāo)準(zhǔn)語(yǔ)言,在電子設(shè)計(jì)領(lǐng)域?yàn)楸姸嗟腅DA工具支持,因此移植能力好。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.VHDL的基本結(jié)構(gòu)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

完整的VHDL程序一般由庫(kù)、實(shí)體、結(jié)構(gòu)體三個(gè)最基本的部分構(gòu)成。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.1庫(kù)(Library)。在庫(kù)內(nèi)存放了可被其他VHDL程序調(diào)用的數(shù)據(jù)定義、器件說(shuō)明、程序包等資源,庫(kù)的種類(lèi)很多,在安裝元件庫(kù)的目錄下有VHDL87或VHDL93文件夾,里面包括IEEE、Altera、IPM、STD四個(gè)庫(kù)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.2實(shí)體(Entity)。它僅定義設(shè)計(jì)模塊輸入/輸出信號(hào),不涉及模塊內(nèi)部邏輯功能的實(shí)現(xiàn)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.3結(jié)構(gòu)體(Architectrue)。它描述設(shè)計(jì)模塊的邏輯功能,結(jié)構(gòu)體必須和實(shí)體相聯(lián)系,確定實(shí)體定義的輸入與輸出信號(hào)的邏輯關(guān)系,一個(gè)設(shè)計(jì)實(shí)體可以有多個(gè)結(jié)構(gòu)體。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

3.VHDL的設(shè)計(jì)流程Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在VHDL的設(shè)計(jì)過(guò)程中,我們采用自頂向下的方法,首先從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框圖的劃分,其次對(duì)各模塊進(jìn)行設(shè)計(jì)并仿真,再次綜合進(jìn)行門(mén)級(jí)仿真,如果沒(méi)有錯(cuò)誤即可下載,最后實(shí)現(xiàn)電路。用VHDL設(shè)計(jì)數(shù)字系統(tǒng)的流程如下:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

輸入源程序編譯源程序仿真綜合門(mén)級(jí)仿真物理設(shè)計(jì)時(shí)序仿真Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

4.VHDL的應(yīng)用實(shí)例――24進(jìn)制計(jì)數(shù)器Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

我們可以通過(guò)MAX+Plusll平臺(tái)的編譯、仿真,得到仿真波形圖(圖1),在仿真無(wú)誤的情況下,編程下載到器件芯片(圖2)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

24進(jìn)制計(jì)數(shù)器VHDL程序描述如下:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

通過(guò)以上實(shí)例,我們可以看到,VHDL語(yǔ)言具有良好的電路行為描述能力和系統(tǒng)描述能力,利用VHDL語(yǔ)言設(shè)計(jì)數(shù)字電路更為靈活方便,設(shè)計(jì)周期也大大減小。目前,國(guó)際上用VHDL進(jìn)行系統(tǒng)邏輯設(shè)計(jì)成為流行方式,學(xué)會(huì)VHDL及其設(shè)計(jì)方法也是新的潮流。VHDL將成為數(shù)字系統(tǒng)設(shè)計(jì)領(lǐng)域中所有技術(shù)人員必須掌握的一種語(yǔ)言。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn):Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1]程云長(zhǎng).可編程邏輯器件與VHDL語(yǔ)言.北京科學(xué)出版社,2002.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2]江冰.電子技術(shù)基礎(chǔ)及應(yīng)用.北京機(jī)械工業(yè)出版社,2001.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第2篇

關(guān)鍵詞:VHDL硬件描述語(yǔ)言;電子技術(shù)設(shè)計(jì);設(shè)計(jì)流程Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL硬件描述語(yǔ)言在數(shù)字電路與系統(tǒng)描述、模擬和設(shè)計(jì)中應(yīng)用比較普遍,屬于電子技術(shù)設(shè)計(jì)的核心[1]。尤其是隨著集成電路技術(shù)的進(jìn)步,人們對(duì)電子技術(shù)設(shè)計(jì)效率和設(shè)計(jì)水平的要求不斷提升,因此選擇合理的硬件描述語(yǔ)言具有必要性,而VHDL硬件描述語(yǔ)言能夠有效降低電子技術(shù)設(shè)計(jì)難度,且具有通用性高、支持面廣的特征,并獲得了市場(chǎng)和電子電力公司的肯定與支持,可以有效促進(jìn)電子技術(shù)設(shè)計(jì)想著自動(dòng)化方向發(fā)展,縮短開(kāi)發(fā)周期。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1 VHDL語(yǔ)言在電子設(shè)計(jì)中應(yīng)用的構(gòu)成Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL(Very-High-Speed Inte-grated Circuit Hardware Description Languagea)硬件描述語(yǔ)言,即超高速集成電路硬件描述語(yǔ)言,主要是應(yīng)用于數(shù)字電路系統(tǒng)性能描述和模擬的語(yǔ)言[2]。VHDL硬件描述語(yǔ)言是在1983年由美國(guó)國(guó)防部創(chuàng)建,并在后期的發(fā)展和應(yīng)用中,成為硬件描述語(yǔ)言的標(biāo)準(zhǔn)之一。隨著IEEE對(duì)VHDL硬件描述語(yǔ)言的修訂,VHDL硬件描述語(yǔ)言的內(nèi)容得到的進(jìn)一步的擴(kuò)展,主要是從更高的抽象層次和系統(tǒng)描述能力進(jìn)行擴(kuò)展。當(dāng)前VHDL硬件描述語(yǔ)言已經(jīng)成為通用性硬件描述語(yǔ)言。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL硬件描述語(yǔ)言的語(yǔ)法具有高度嚴(yán)格的特征,與Ada風(fēng)格比較相近,即一段完整的VHDL代碼包含實(shí)體語(yǔ)句、構(gòu)造體語(yǔ)句、配置說(shuō)明語(yǔ)句等。其中實(shí)體語(yǔ)句主要是針對(duì)設(shè)計(jì)單元的外部接口信號(hào)進(jìn)行描述,構(gòu)造體語(yǔ)句主要是針對(duì)設(shè)計(jì)單元內(nèi)部的結(jié)構(gòu)和行為進(jìn)行描述。根據(jù)研究可知,利用構(gòu)造體語(yǔ)句進(jìn)行設(shè)計(jì)單元內(nèi)部功能描述又可以分為三種方式,即行為級(jí)描述、寄存器傳輸級(jí)描述和結(jié)構(gòu)描述等。配置說(shuō)明依據(jù)主要是針對(duì)選取不同元件構(gòu)成設(shè)計(jì)單元版本的描述。此外VHDL代碼還包含庫(kù)說(shuō)明語(yǔ)句和包說(shuō)明語(yǔ)句。其中庫(kù)是進(jìn)行編譯實(shí)體、構(gòu)造體、包和配置數(shù)據(jù)的存放,而包則是用來(lái)存在共享數(shù)據(jù)類(lèi)型、常量和子程序等。常見(jiàn)的庫(kù)類(lèi)型主要分為IEEE庫(kù)、STD庫(kù)、ASIC矢量庫(kù)等[3]。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2 VHDL語(yǔ)言在電子設(shè)計(jì)中應(yīng)用主要特點(diǎn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL硬件描述語(yǔ)言主要是進(jìn)行數(shù)字系統(tǒng)結(jié)構(gòu)、行為、功能和接口的描述,其與其他語(yǔ)言相比,具有很多明顯的優(yōu)勢(shì)和特點(diǎn),主要表現(xiàn)在以下幾個(gè)方面。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.1 VHDL硬件描述語(yǔ)言描述能力強(qiáng)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL硬件描述語(yǔ)言具有電路描述能力強(qiáng)和建模能力強(qiáng)等優(yōu)勢(shì),主要表現(xiàn)在其能夠從多個(gè)層次實(shí)現(xiàn)對(duì)數(shù)字系統(tǒng)的建模與描述,有效簡(jiǎn)化硬件設(shè)計(jì)任務(wù),降低硬件設(shè)計(jì)難度,繼而提高電子技術(shù)設(shè)計(jì)效率和設(shè)計(jì)可靠性。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.2 VHDL硬件描述語(yǔ)言設(shè)計(jì)優(yōu)化能力Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL硬件描述語(yǔ)言應(yīng)用在電子技術(shù)設(shè)計(jì)中,能夠脫離具體硬件電路、設(shè)計(jì)平臺(tái)等特性,且其電路行為描述能力和系統(tǒng)描述能力優(yōu)良,且其生命力和應(yīng)用潛力非常強(qiáng)大,尤其是在語(yǔ)言易讀性方便、層次性方面和結(jié)構(gòu)化設(shè)計(jì)方面等。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.3 VHDL硬件描述語(yǔ)言全新設(shè)計(jì)方法Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL硬件描述語(yǔ)言主要采用自上而下的設(shè)計(jì)方法,且包含庫(kù),有效應(yīng)用在電子產(chǎn)品生命周期縮短的環(huán)境中,面對(duì)重新設(shè)計(jì)、融入全新技術(shù)和改變工藝等,均具有良好的適應(yīng)性。自上而下的設(shè)計(jì)方法,可以將較大的系統(tǒng)分解成若干個(gè)模塊,在分工合作時(shí)更加簡(jiǎn)單方便。此外設(shè)計(jì)人員在利用VHDL硬件描述語(yǔ)言進(jìn)行電子技術(shù)設(shè)計(jì)時(shí),可以單純進(jìn)行功能的設(shè)計(jì),對(duì)于其他不會(huì)影響功能的因素,且無(wú)需耗費(fèi)太多的精力[4]。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

3 VHDL語(yǔ)言在電子設(shè)計(jì)中的應(yīng)用策略Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在電子技術(shù)設(shè)計(jì)中,VHDL硬件描述語(yǔ)言屬于比較高層次的設(shè)計(jì)方法。技術(shù)人員在利用VHDL硬件描述語(yǔ)言進(jìn)行電子技術(shù)設(shè)計(jì)時(shí),首先要利用VHDL硬件描述語(yǔ)言進(jìn)行電路系統(tǒng)的表達(dá),并輸入至計(jì)算機(jī)。隨后利用HDL綜合器對(duì)設(shè)計(jì)進(jìn)行綜合,將軟件設(shè)計(jì)的HDL描述與硬件結(jié)構(gòu)掛鉤,實(shí)現(xiàn)軟件轉(zhuǎn)化為硬件電路。綜合的作用主要是將VHDL硬件描述語(yǔ)言轉(zhuǎn)換為低級(jí)語(yǔ)言,確保實(shí)現(xiàn)與FPGA/CPLD的基本結(jié)構(gòu)相應(yīng)的網(wǎng)表文件[5]。再利用適配器將綜合器產(chǎn)生的網(wǎng)標(biāo)文件配置目標(biāo)器件中,產(chǎn)生下載文件。適配器又稱(chēng)之為結(jié)構(gòu)綜合器,主要是將網(wǎng)標(biāo)文件針對(duì)的目標(biāo)器件進(jìn)行邏輯映射操作,如邏輯分割、優(yōu)化等。而且由于電子技術(shù)設(shè)計(jì)的模擬仿真主要是在高層上實(shí)現(xiàn),能夠進(jìn)一步提高錯(cuò)誤發(fā)生效率,提升電子技術(shù)設(shè)計(jì)效率。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

仿真主要是利用計(jì)算機(jī)對(duì)設(shè)計(jì)進(jìn)行模擬,包含時(shí)序仿真、功能仿真。其中時(shí)序仿真中,文件包含器件硬件特性參數(shù),即基于真實(shí)器件的特性進(jìn)行仿真,仿真精度高。功能仿真主要是針對(duì)邏輯功能進(jìn)行模式,不涉及具體器件的硬件特性。仿真后,將適配后生成的下載文件或配置文件,利用編程器進(jìn)行下載,以實(shí)現(xiàn)硬件調(diào)試和驗(yàn)證。最后需要對(duì)硬件系統(tǒng)進(jìn)行測(cè)試,確保設(shè)計(jì)項(xiàng)目在目標(biāo)系統(tǒng)上的工作情況滿(mǎn)足要求,針對(duì)存在錯(cuò)誤的地方需要及時(shí)進(jìn)行改進(jìn)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

4 結(jié)束語(yǔ)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

綜上所述,將VHDL硬件描述語(yǔ)言應(yīng)用與電子技術(shù)設(shè)計(jì)中,能夠?qū)崿F(xiàn)從多個(gè)層次進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì),有效降低設(shè)計(jì)難度,縮短設(shè)計(jì)周期,提高設(shè)計(jì)效率。如今VHDL硬件描述語(yǔ)言在電子技術(shù)設(shè)計(jì)應(yīng)用中主要表現(xiàn)為自上而下應(yīng)用方向,體現(xiàn)出邏輯綜合和優(yōu)化的重要性。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1]李要球,盧璐.VHDL硬件描述語(yǔ)言在數(shù)字電路設(shè)計(jì)中的應(yīng)用[J].實(shí)驗(yàn)室科學(xué),2011,05:97-99+103.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2]夏莉莉.淺議VHDL語(yǔ)言在電子設(shè)計(jì)自動(dòng)化中的應(yīng)用[J].信息安全與技術(shù),2012,07:44-46.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[3]張?zhí)O珍,王俊峰,仲濤.VHDL在數(shù)字邏輯電路設(shè)計(jì)中的應(yīng)用方法[J].信息通信,2012,05:96-97.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[4]柴志成,羅俊寧.VHDL語(yǔ)言在數(shù)字電路教學(xué)改革中的應(yīng)用[J].電子世界,2014,17:180-181.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第3篇

關(guān)鍵詞:VHDL;教學(xué);關(guān)鍵問(wèn)題分析Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

The discussion of several key problems in VHDL language teachingJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Zhao Hang1, Zhang Wenting2Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.Sanjiang university, Nanjing , 210012, ChinaJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.Nanjing panneng technology development co., Nanjing , 210061, ChinaJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Abstract: This paper attempt to discuss several key problems in VHDL language teaching. On this basis, the author comes up with the importance of problems, which include the features of hardware description language, the description style distinction of the combinational circuit and sequential circuit, use in signal and variable. The author has carried on the detailed analysis to these problems by way of examples, so as to achieve an effect of visual and easily understand. Seeing from the several rounds of teaching effect, the proposed approach has a certain guidance meaning in VHDL language and VHDL language programming.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Key words: VHDL; teaching; key problem analysisJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL(Very high speed intergated circuit Hardware Description Language)是指超高速集成電路硬件描述語(yǔ)言。它用軟件編程的方式來(lái)描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,與傳統(tǒng)的門(mén)級(jí)方式相比,它更適合大規(guī)模系統(tǒng)的設(shè)計(jì)。因此很多高校的信息技術(shù)、計(jì)算機(jī)技術(shù)等專(zhuān)業(yè)都開(kāi)出了VHDL設(shè)計(jì)課程[1]。筆者在教學(xué)過(guò)程中發(fā)現(xiàn)學(xué)生學(xué)習(xí)VHDL語(yǔ)言時(shí)會(huì)受到已經(jīng)學(xué)習(xí)過(guò)的其他軟件語(yǔ)言的影響,對(duì)VHDL語(yǔ)言作為硬件語(yǔ)言的一些特性和語(yǔ)法問(wèn)題理解不夠,往往會(huì)影響到對(duì)VHDL語(yǔ)言的靈活合理運(yùn)用。本文結(jié)合多輪課程教學(xué)實(shí)踐,針對(duì)學(xué)生最難掌握的幾個(gè)問(wèn)題做了深入探討。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1 對(duì)VHDL作為硬件描述語(yǔ)言的特征的理解Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

學(xué)好VHDL的關(guān)鍵是充分理解VHDL語(yǔ)句和硬件電路的關(guān)系。 編寫(xiě)VHDL,就是在描述一個(gè)電路,我們寫(xiě)完一段程序后,應(yīng)當(dāng)對(duì)生成的電路有一些大體上的了解, 而不能用純軟件的設(shè)計(jì)思路來(lái)編寫(xiě)硬件描述語(yǔ)言[2]。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

下面以四選一數(shù)據(jù)選擇器的設(shè)計(jì)為例來(lái)加以說(shuō)明。四選一數(shù)據(jù)選擇器是一種常用的組合邏輯電路,用VHDL語(yǔ)言設(shè)計(jì)比較簡(jiǎn)單,可以用when_else語(yǔ)句實(shí)現(xiàn),也可以用with_select語(yǔ)句實(shí)現(xiàn),兩者仿真結(jié)果相同,但綜合的結(jié)果不同。具體情況如下:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

【程序1】Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

library ieee;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

use ieee.std_logic_1164.all;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

entity mux41a isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

port(a,b,c,d: in std_logic;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

s: in std_logic_vector(1 downto 0);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

y: out std_logic);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

architecture one of mux41a isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

yJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

b when (s = “01”) elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

c when (s = “10”) elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

d ;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

使用Quartus II軟件對(duì)程序1進(jìn)行編譯仿真,仿真結(jié)果如圖1所示。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

將程序1中的when_else語(yǔ)句實(shí)現(xiàn)改成with_select語(yǔ)句實(shí)現(xiàn),修改后的部分程序如下:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

【程序2】Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

with s selectJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

yJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

b when“01”,Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

c when“10”,Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

d when others;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

同樣使用Quartus II軟件對(duì)程序2進(jìn)行編譯仿真,仿真結(jié)果與圖1完全相同。說(shuō)明程序1和程序2都能完成四選一數(shù)據(jù)選擇器的功能,仿真結(jié)果一致。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

但是如果對(duì)程序1和程序2進(jìn)行綜合,得出它們對(duì)應(yīng)的RTL電路,分別如圖2和圖3所示。從圖2和圖3的比較看,電路差別比較大。根據(jù)四選一數(shù)據(jù)選擇器的功能,應(yīng)該是圖3的電路更合適。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

綜合來(lái)看,雖然when_else語(yǔ)句和with_select_when語(yǔ)句都能實(shí)現(xiàn)四選一數(shù)據(jù)選擇器功能,但是實(shí)現(xiàn)的硬件電路完全不同,這就告訴我們?cè)谠O(shè)計(jì)某一功能的電路時(shí)要盡量使用合適的語(yǔ)句來(lái)表達(dá),這樣才能得到最優(yōu)的結(jié)果,而不能僅僅滿(mǎn)足于功能實(shí)現(xiàn)。因?yàn)檫@是硬件電路的設(shè)計(jì),要考慮最后實(shí)現(xiàn)的電路的合理性。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2 組合電路和時(shí)序電路的區(qū)別Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

組合電路和時(shí)序電路是數(shù)字電路系統(tǒng)的兩大種類(lèi),在設(shè)計(jì)中至少要用到它們中的一種或兩種都會(huì)用到,這就要求正確掌握它們各自的設(shè)計(jì)要領(lǐng)。在VHDL語(yǔ)言中,組合電路和時(shí)序電路是以完整條件語(yǔ)句和不完整條件語(yǔ)句來(lái)區(qū)別的,如果在組合電路設(shè)計(jì)中使用了不完整條件語(yǔ)句,會(huì)使設(shè)計(jì)結(jié)果出現(xiàn)意想不到的問(wèn)題,影響設(shè)計(jì)的正確性,設(shè)計(jì)時(shí)要加以注意[3]。比如程序3是一個(gè)數(shù)值比較器的設(shè)計(jì),數(shù)值比較器屬于組合電路,應(yīng)該使用完整條件語(yǔ)句來(lái)描述,但是程序中if語(yǔ)句判斷了a>b和aJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

【程序3】Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

library ieee;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

use ieee.std_logic_1164.all;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

entity comp isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

port(a,b:in std_logic;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

y:out std_logic);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

architecture one of comp isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

process (a,b)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if a>b then yJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

else if aJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

【程序4】Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if a>b then yJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

else yJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

圖5 程序4的RTL電路Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

3 信號(hào)和變量的區(qū)別Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在VHDL中,數(shù)據(jù)對(duì)象(Data objects)有3類(lèi):信號(hào)(signal)、變量(variable)和常量(constant)。變量和常量與軟件語(yǔ)言中的變量和常量的含義相似,而信號(hào)則具有更多的硬件特征,是硬件描述語(yǔ)言所特有的數(shù)據(jù)對(duì)象。由于信號(hào)和變量在使用上有很多相似的地方,但又存在本質(zhì)的區(qū)別,學(xué)生比較容易混淆,在設(shè)計(jì)中常常不注意區(qū)分它們的區(qū)別,造成錯(cuò)誤[4]。在變量和信號(hào)的使用過(guò)程中,有時(shí)可以互換,有時(shí)候又不能互換。兩者從形式上差別并不大,所以學(xué)生在沒(méi)弄清其本質(zhì)區(qū)別前往往按照例子生搬硬套,這樣做有時(shí)候系統(tǒng)也能正常運(yùn)轉(zhuǎn),但是在系統(tǒng)需要利用到變量和信號(hào)的根本特性時(shí),錯(cuò)誤地使用數(shù)據(jù)對(duì)象往往會(huì)導(dǎo)致系統(tǒng)的邏輯錯(cuò)誤,使設(shè)計(jì)結(jié)果與預(yù)期有很大差距,而學(xué)生很難發(fā)現(xiàn)其中的錯(cuò)誤。所以,如何使學(xué)生分清兩者,并正確的使用,成為影響VHDL語(yǔ)言教學(xué)的關(guān)鍵問(wèn)題之一。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

為了更好地區(qū)分信號(hào)和變量,需要通過(guò)一定數(shù)量的實(shí)例分析,通過(guò)對(duì)比,使學(xué)生對(duì)兩者之間的物理含義、使用范圍及值代入的區(qū)別有較明確的認(rèn)識(shí)。下面給出用中間變量和信號(hào)表達(dá)四選一數(shù)據(jù)選擇器的VHDL源程序,從中可以看出信號(hào)、變量的定義和使用方法之間的不同以及它們對(duì)系統(tǒng)的影響。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

【程序5】Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

library ieee;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

use ieee.std_logic_1164.all;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

entity mux41a isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

port(i0,i1,i2,i3,a,b:in std_logic;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

q:out std_logic);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

architecture one of mux41a isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

signal muxval: integer range 3 downto 0;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

process (i0,i1,i2,i3,a,b)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

muxvalJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if a='1' then muxvalJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if b='1' then muxvalJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

case muxval isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

when 0 => qJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

when 1 => qJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

when 2 => qJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

when 3 => qJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

when others => null;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end case;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

程序5的仿真結(jié)果如圖6所示。從圖6中可以看出,輸出信號(hào)q并沒(méi)有得到預(yù)想的波形,而是未知數(shù)據(jù),這是因?yàn)閙uxval在程序中被定義為信號(hào),而信號(hào)的含義是電路的實(shí)際硬件連接,因此對(duì)信號(hào)賦值存在延時(shí)[5]。故此在case語(yǔ)句中根據(jù)muxval值去選擇不同的信號(hào)進(jìn)行輸出時(shí),muxval的值還沒(méi)有產(chǎn)生,因此結(jié)果不對(duì)。為了產(chǎn)生正確的結(jié)果,對(duì)本程序,只需要把muxval的定義改為變量,其他地方做適當(dāng)修改,則可得到如圖7所示的正確仿真結(jié)果。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

4 結(jié)束語(yǔ)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在VHDL設(shè)計(jì)課程教學(xué)中,筆者特別注意上述幾個(gè)問(wèn)題的講解和舉例說(shuō)明,力求使學(xué)生對(duì)這些問(wèn)題理解透徹,讓學(xué)生真正認(rèn)識(shí)到運(yùn)用VHDL語(yǔ)言進(jìn)行電路設(shè)計(jì),不僅要熟悉VHDL語(yǔ)言的基本語(yǔ)法和常用語(yǔ)句,還要對(duì)硬件描述語(yǔ)言的本質(zhì)有深刻的認(rèn)識(shí),對(duì)所設(shè)計(jì)的電路有深刻理解,在此基礎(chǔ)上才能更好地使用掌握這門(mén)硬件描述語(yǔ)言進(jìn)行電路設(shè)計(jì)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1] 朱奕丹.VHDL設(shè)計(jì)課程教學(xué)改革實(shí)踐[J].電氣電子教學(xué)學(xué)報(bào),2007,29(2):22-23Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2] 潘松,黃繼業(yè).EDA技術(shù)與VHDL[M].北京:清華大學(xué)出版社,2009Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[3] 夏炎,師衛(wèi).硬件描述語(yǔ)言與數(shù)字電路設(shè)計(jì)[J].電力學(xué)報(bào),2009,24(2):156-158Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[4] 楊丹,樹(shù)林.VHDL中信號(hào)與變量的教學(xué)體會(huì)[J].電氣電子教學(xué)學(xué)報(bào),2006,28(3):30-35Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第4篇

關(guān)鍵詞:EDA技術(shù);VHDL語(yǔ)言;交通控制器;波形仿真Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

中圖分類(lèi)號(hào):TP311 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1009-3044(2014)10-2404-03Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Abstract: This paper presents a traffic controller design based on VHDL language, using QuartusII as software development platform, the paper focuses on the design principle and design procedure of the traffic controller. On this basis, given the realization of system source code and simulation results based on VHDL hardware description language, the simulation results further validate the correctness of the traffic controller program, thus demonstrating strong structural and excellent features of VHDL language.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Key words: EDA technology;VHDL language;traffic controller;waveform simulationJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

EDA電子設(shè)計(jì)自動(dòng)化技術(shù)已成為電子設(shè)計(jì)領(lǐng)域一種重要的電子系統(tǒng)設(shè)計(jì)手段,通過(guò)EDA技術(shù)能夠?qū)崿F(xiàn)利用軟件的方式來(lái)設(shè)計(jì)硬件系統(tǒng)。電子工程技術(shù)人員能夠在EDA軟件平臺(tái)上,根據(jù)硬件描述語(yǔ)言所編寫(xiě)的文件完成程序的編輯、仿真、綜合、優(yōu)化、布局布線(xiàn)和下載,最終實(shí)現(xiàn)系統(tǒng)硬件功能的描述。與傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)過(guò)程不同,其需要經(jīng)過(guò)設(shè)計(jì)輸入、仿真調(diào)試、制作樣機(jī)、樣機(jī)調(diào)試、完成,這5個(gè)步驟,將傳統(tǒng)的硬件調(diào)試過(guò)程移交給計(jì)算機(jī),由計(jì)算機(jī)給出調(diào)試結(jié)果和錯(cuò)誤類(lèi)型,使得調(diào)試工作變得輕松。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL語(yǔ)言為現(xiàn)今電子設(shè)計(jì)的主流硬件描述語(yǔ)言,誕生于1982年,并于1987年底被IEEE和美國(guó)國(guó)防部確認(rèn)為標(biāo)準(zhǔn)的硬件描述語(yǔ)言。VHDL硬件描述語(yǔ)言,其語(yǔ)言結(jié)構(gòu)強(qiáng)大,能夠利用簡(jiǎn)明的代碼描述復(fù)雜的控制邏輯設(shè)計(jì),具有多層次的電路設(shè)計(jì)描述功能,既能夠描述系統(tǒng)級(jí)電路,也可以描述門(mén)級(jí)電路,此外能夠?qū)⑼粋€(gè)硬件電路的VHDL語(yǔ)言描述進(jìn)行移植。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

應(yīng)用VHDL語(yǔ)言設(shè)計(jì)數(shù)字系統(tǒng),能夠減小硬件電路設(shè)計(jì)的工作量,縮短系統(tǒng)開(kāi)發(fā)周期,提高工作效率,該文將介紹基于VHDL語(yǔ)言的交通控制器設(shè)計(jì),在給出源代碼和仿真結(jié)果的基礎(chǔ)上進(jìn)一步驗(yàn)證EDA技術(shù)以及VHDL語(yǔ)言的優(yōu)勢(shì)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1 系統(tǒng)功能與要求Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

高速公路與小路交叉口處車(chē)輛較多,極易出現(xiàn)交通事故,對(duì)于交叉路口的紅綠燈設(shè)計(jì)極為重要,此處要求,只有在小路發(fā)現(xiàn)汽車(chē)時(shí),高速公路上的交通燈才能變?yōu)榧t燈,當(dāng)小路上有汽車(chē)行進(jìn)時(shí),小路的交通燈保持為綠燈,但不能超過(guò)給定的延遲時(shí)間,當(dāng)高速上的紅綠燈轉(zhuǎn)為綠燈后,即使小路上再有汽車(chē)出現(xiàn),而此時(shí)公路上沒(méi)有汽車(chē),也要保證高速上的綠燈持續(xù)一定的間隔。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2 系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.1 系統(tǒng)設(shè)計(jì)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

根據(jù)系統(tǒng)要求,需要在此公路和小路上各設(shè)了一個(gè)紅綠燈分別為:grgy,xrgy,來(lái)控制各自道路上通行車(chē)輛,同時(shí)在系統(tǒng)設(shè)計(jì)中作為輸出,在系統(tǒng)設(shè)置中設(shè)置了三個(gè)輸入端分別為:clk,che,rest且都為標(biāo)準(zhǔn)邏輯類(lèi)型,其中clk作為兩燈需進(jìn)行變化時(shí)的時(shí)鐘,在時(shí)鐘上升沿時(shí)控制相應(yīng)的計(jì)數(shù)信號(hào)進(jìn)行計(jì)數(shù),che作為使能信號(hào)當(dāng)其為‘1’表明小路有車(chē),rest作為復(fù)位輸入,當(dāng)有特殊情況時(shí)或紅綠燈出現(xiàn)錯(cuò)誤時(shí)rest置‘1’可使紅綠燈自動(dòng)回到公路上綠燈,小路上紅燈的情況。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

同時(shí),根據(jù)需要可以用一狀態(tài)機(jī)來(lái)表示公路,小路上各紅綠燈的情況,其大體由四種狀態(tài),我們?cè)诮Y(jié)構(gòu)體中定義一狀態(tài)機(jī)stx用其四種狀態(tài)st0,st1,st2,st3來(lái)分別表示:公路綠燈小路紅燈、公路黃燈小路紅燈、公路紅燈小路綠燈、公路紅燈小路黃燈四種狀態(tài)。并且在結(jié)構(gòu)體中定義狀態(tài)信號(hào)current_state作為表示表示當(dāng)前狀態(tài)的信號(hào),之后定義四個(gè)整數(shù)類(lèi)型計(jì)數(shù)信號(hào):clkg,clkx,clky1,clky2來(lái)分別為公路上綠燈持續(xù)時(shí)間,小路上綠燈持續(xù)時(shí)間,公路上黃燈持續(xù)時(shí)間,小路上黃燈持續(xù)時(shí)間計(jì)數(shù)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.2 系統(tǒng)實(shí)現(xiàn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

根據(jù)系統(tǒng)要求,按照上述設(shè)計(jì)方案,將系統(tǒng)的實(shí)現(xiàn)過(guò)程進(jìn)行分析,具體是:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

當(dāng)時(shí)鐘在上升沿時(shí)如果che為‘1’且rest為‘0’(說(shuō)明小路上有車(chē)且交通燈正常工作)時(shí):如果current_state為st0即當(dāng)前公路上為綠燈小路上為紅燈時(shí),公路上的綠燈計(jì)數(shù)器clkg計(jì)數(shù)(此處設(shè)計(jì)綠燈持續(xù)時(shí)間應(yīng)為23秒,又因?yàn)橛?jì)數(shù)是從0開(kāi)始則此處要求計(jì)數(shù)終止點(diǎn)在22)當(dāng)計(jì)數(shù)小于22時(shí)公路上綠燈計(jì)數(shù)信號(hào)一直計(jì)數(shù),直到計(jì)數(shù)等于22時(shí),狀態(tài)可以轉(zhuǎn)換到下一狀態(tài)及st1狀態(tài)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

如果current_state為st1即當(dāng)前公路上為黃燈小路上為紅燈時(shí),公路上黃燈計(jì)數(shù)信號(hào)clky1計(jì)數(shù)(此處設(shè)黃燈持續(xù)時(shí)間為5秒,即設(shè)終止點(diǎn)位4)當(dāng)計(jì)數(shù)小于4時(shí)公路上黃燈計(jì)數(shù)信號(hào)一直計(jì)數(shù),直到計(jì)數(shù)等于4時(shí),狀態(tài)轉(zhuǎn)換到下一狀態(tài)st2。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

如果current_state為st2時(shí)即當(dāng)前公路上為紅燈小路上為綠燈時(shí),小路上綠燈計(jì)數(shù)信號(hào)clkx計(jì)數(shù)(此處設(shè)計(jì)小路上綠燈持續(xù)時(shí)間為17秒,且計(jì)數(shù)終止時(shí)間為16)當(dāng)計(jì)數(shù)小于16時(shí)小路上路燈計(jì)數(shù)信號(hào)計(jì)數(shù),直到計(jì)數(shù)等于16時(shí),狀態(tài)可以轉(zhuǎn)換到下一狀態(tài)st3。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

如果current_state為st3時(shí)即當(dāng)前公路上為紅燈小路上為黃燈時(shí),小路黃燈計(jì)數(shù)信號(hào)clky2計(jì)數(shù)(同樣設(shè)黃燈持續(xù)時(shí)間為5秒,即設(shè)終止點(diǎn)位4)當(dāng)計(jì)數(shù)小于4時(shí)小路上黃燈計(jì)數(shù)信號(hào)一直計(jì)數(shù),直到計(jì)數(shù)等于4時(shí),狀態(tài)返回到st0。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

而對(duì)于任何其他情況例如小路上無(wú)車(chē)che為‘0’還有其他錯(cuò)誤地方則設(shè)current_state都為st0狀態(tài)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

具體的交通控制器VHDL源代碼如下:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

通過(guò)對(duì)仿真波形的結(jié)果,可以知道,圖 1顯示的為當(dāng)小路上有車(chē)時(shí)公路綠燈小路紅燈狀態(tài)到公路黃燈小路紅燈狀態(tài)再到公路紅燈小路綠燈狀態(tài)的轉(zhuǎn)換過(guò)程。由圖可以看出在狀態(tài)轉(zhuǎn)換過(guò)程中,時(shí)間控制方面滿(mǎn)足要求,稍有一些延遲現(xiàn)象。且在接觸點(diǎn)時(shí)偶爾會(huì)有一絲毛刺。其原因一是在整個(gè)結(jié)構(gòu)體中存在兩個(gè)進(jìn)程,在狀態(tài)機(jī)體應(yīng)用中,若使用多進(jìn)程方式由于其自身特點(diǎn)容易發(fā)生延遲和多毛刺現(xiàn)象,而在此已將最初設(shè)計(jì)的三進(jìn)程改為兩進(jìn)程基本解決毛刺現(xiàn)象。圖 2則為將各燈每一時(shí)刻的具體數(shù)字電平加以顯示,可以具體觀察毛刺現(xiàn)象。由圖2可以看出在公路紅燈小路綠燈到公路紅燈小路黃燈等狀態(tài)轉(zhuǎn)換時(shí)的具體波形。圖 3為在最初階段che為‘0’即小路無(wú)車(chē)時(shí)公路小路交通燈的具體情況,此時(shí)公路綠燈小路紅燈,與設(shè)計(jì)符合。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

4 結(jié)論Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

通過(guò)該系統(tǒng)的設(shè)計(jì)可以進(jìn)一步領(lǐng)會(huì)VHDL 語(yǔ)言強(qiáng)大的行為描述能力和豐富的仿真語(yǔ)句及庫(kù)函數(shù),VHDL語(yǔ)言的優(yōu)勢(shì)決定了它具有支持大規(guī)模設(shè)計(jì)的分析和已有設(shè)計(jì)的再利用功能。使用QuartusII軟件平臺(tái)進(jìn)行編譯和仿真驗(yàn)證,表明該交通控制器能夠符合預(yù)定設(shè)計(jì)目標(biāo)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn):Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1] 潘松,黃繼業(yè).EDA技術(shù)與VHDL[M]. 3版.北京:清華大學(xué)出版社,2009.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2] 詹仙寧.VHDL開(kāi)發(fā)精解與實(shí)例剖析[M].北京:電子工業(yè)出版社,2009.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[3] 譚會(huì)生,瞿遂春.EDA 技術(shù)綜合應(yīng)用實(shí)例與分析[M].西安:西安電子科技大學(xué)出版社,2004.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[4] 于潤(rùn)偉.EDA基礎(chǔ)與應(yīng)用[M].北京:機(jī)械工業(yè)出版社,2010.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第5篇

關(guān)鍵詞:VHDL;CPLDCPUJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

中圖分類(lèi)號(hào):TP312 文獻(xiàn)標(biāo)識(shí)碼:B文章編號(hào):1009-9166(2008)33(c)-0049-01Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

CPU因其在各大系統(tǒng)中處于核心位置而在硬件電路設(shè)計(jì)中備受關(guān)注,但因其結(jié)構(gòu)復(fù)雜,所涉專(zhuān)業(yè)背景多而不被一般人掌握。CPU設(shè)計(jì)極具代表性,雖發(fā)展日新月異,但就其基本原理而言大同小異。VHDL語(yǔ)言是符合IEEE標(biāo)準(zhǔn)的當(dāng)今世界最為流行的硬件描述語(yǔ)言。下文通過(guò)對(duì)CPU結(jié)構(gòu)模型的簡(jiǎn)化,依托綜合實(shí)驗(yàn)平臺(tái),所描述的簡(jiǎn)易4位CPU,能實(shí)現(xiàn)加、減、乘、移位等操作。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

一、系統(tǒng)功能設(shè)計(jì)。精簡(jiǎn)CPU結(jié)構(gòu)模型,運(yùn)用Altera公司的MAX7000s系列芯片,依托綜合實(shí)驗(yàn)板,運(yùn)用狀態(tài)機(jī)實(shí)現(xiàn)了微機(jī)指令系統(tǒng)。其指令的分析和執(zhí)行過(guò)程與微機(jī)中的CPU相似,能完成簡(jiǎn)單的算數(shù)運(yùn)算和邏輯運(yùn)算。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

二、設(shè)計(jì)與實(shí)現(xiàn)。(一)、簡(jiǎn)易4位CPU的基本結(jié)構(gòu)單元。1)程序計(jì)數(shù)器;2)輸入與MAR(Memory Access Register);3)16×8RAM;4)指令寄存器;5)累加器(Accumulator);6)二進(jìn)制顯示(Binary Display);7)B寄存器(B Register);8)輸出寄存器(Output Register);9)運(yùn)算器(Calculater)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(二)、尋址方式介紹。本設(shè)計(jì)中的4位CPU指令共有8個(gè)且可分為兩種類(lèi)型,如下表:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

對(duì)狀態(tài)機(jī)的主要部分的描述和注釋?zhuān)篒F(clk'event AND clk='0')THEN--時(shí)鐘下降沿觸發(fā)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

IF(run='1')THEN--如果CPU運(yùn)行信號(hào)為Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

CASE pstate ISJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

WHEN s0=>nstateJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

f1:=true;--標(biāo)志f1置trueJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

IF(breg="00000000")THEN--如果B寄存器值為0Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

marJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

END IF;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

WHEN s1=>nstateJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

IF(breg="00000000")THEN--如果B寄存器值為0Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

IF(flag=true)THEN --標(biāo)志為trueJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

pcJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

flag:=false;--標(biāo)志flag置falseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

END IF;--如果不滿(mǎn)足條件,是因?yàn)檎龍?zhí)行多次移位的指令Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

END IF;--只有移位完才能執(zhí)行下一條指令,所以程序計(jì)數(shù)器數(shù)值不變Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(四)、簡(jiǎn)易4位CPU功能仿真(加法)。以下是計(jì)算10+15+17-20=1C(十六進(jìn)制加減計(jì)算)的VHDL源程序,這部分將寫(xiě)入ROM中:ARCHITECTURE rtl OF ROM16x8_1 ISJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

BEGINJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

dataoutJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"00011010" WHEN (address="0001" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"00011011" WHEN (address="0010" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"00101100" WHEN (address="0011" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"11100000" WHEN (address="0100" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"11110000" WHEN (address="0101" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"00010000" WHEN (address="1001" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"00010101" WHEN (address="1010" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"00010111" WHEn (address="1011" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"00100000" WHEN (address="1100" AND ce='0') ELSEJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

"00000000";Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

END ARCHITECTURE rtl;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

10+15+17-20=1C(十六進(jìn)制加減計(jì)算)仿真波形圖如下:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

總結(jié):采用自頂向下的設(shè)計(jì)方法,將復(fù)雜的CPU有效劃分位狀態(tài)機(jī)模型再進(jìn)行設(shè)計(jì)和編程,并用QuartusII軟件進(jìn)行了仿真,最終在MAX7000s綜合電路板上驗(yàn)證通過(guò),很好地模擬了CPU的工作過(guò)程。但此設(shè)計(jì)亦有不足之處:1.沒(méi)有直接實(shí)現(xiàn)除法;2.算數(shù)沒(méi)有優(yōu)先級(jí)。另外,綜合開(kāi)發(fā)板上的MAX7000s系列性能過(guò)低也是制約設(shè)計(jì)復(fù)雜的數(shù)字電路障礙之一。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

作者單位:北京郵電大學(xué)電信工程學(xué)院Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

作者簡(jiǎn)介:吉祥(1986年-),男,漢族,上海長(zhǎng)寧區(qū)人,北京郵電大學(xué) 電信工程學(xué)院2005級(jí)電子信息工程本科生。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn):Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1]張亦華,延明.數(shù)字電路EDA入門(mén)――VHDL程序?qū)嵗痆M].北京.北京郵電大學(xué)出版社.2002Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2]姜立東.VHDL語(yǔ)言程序設(shè)計(jì)及應(yīng)用[M].北京:北京郵電大學(xué)出版社.2004Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[3]付永慶.VHDL語(yǔ)言及應(yīng)用[M].北京:高等教育出版社.2004Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第6篇

關(guān)鍵詞:VHDL;教學(xué)改革;項(xiàng)目化教學(xué)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

作者簡(jiǎn)介:周殿鳳(1978-),女,江蘇寶應(yīng)人,鹽城師范學(xué)院物理科學(xué)與電子技術(shù)學(xué)院,講師;康素成(1966-),男,江蘇濱海人,鹽城師范學(xué)院物理科學(xué)與電子技術(shù)學(xué)院,副教授。(江蘇 鹽城 224002)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

基金項(xiàng)目:本文系鹽城師范學(xué)院高校發(fā)展研究項(xiàng)目(項(xiàng)目編號(hào):13YCFZ006)的研究成果。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

中圖分類(lèi)號(hào):G642 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1007-0079(2014)09-0111-02Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL語(yǔ)言及應(yīng)用是電子信息工程專(zhuān)業(yè)的一門(mén)重要的技術(shù)類(lèi)應(yīng)用型課程,主要講解VHDL語(yǔ)言以及它的應(yīng)用。但在多年的教學(xué)實(shí)踐中,筆者發(fā)現(xiàn)要想讓學(xué)生在短時(shí)間內(nèi)接受大量的語(yǔ)法知識(shí),學(xué)生很難充分理解與掌握,這樣在隨后的編程過(guò)程中非常容易犯錯(cuò),并感覺(jué)難以入手,更不用說(shuō)形成良好的編程習(xí)慣和程序風(fēng)格。[1]大多數(shù)大學(xué)生畢業(yè)后或許精通C語(yǔ)言,可是由于各方面的原因,精通VHDL語(yǔ)言的少之又少,但是企業(yè)單位對(duì)此方面的人才的需求卻越來(lái)越多,筆者出于對(duì)VHDL語(yǔ)言的摯愛(ài)及多年教學(xué)經(jīng)驗(yàn),探索了該課程項(xiàng)目化教學(xué)的具體實(shí)施方法。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

一、改變傳統(tǒng)教學(xué)方法Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL語(yǔ)言及應(yīng)用這門(mén)課程的終極目標(biāo)是使學(xué)生掌握VHDL語(yǔ)言并能用之進(jìn)行相關(guān)的電子設(shè)計(jì)。如果教師將大量的時(shí)間用于講解枯澀的語(yǔ)法、語(yǔ)句、復(fù)雜的算法,學(xué)生在學(xué)習(xí)時(shí)也不知它們有何用途,學(xué)、用脫節(jié),只是為學(xué)而學(xué),不能激發(fā)學(xué)生學(xué)習(xí)和應(yīng)用知識(shí)的興趣和積極性,效果很差,[2]因此教師不能按部就班地講解知識(shí)。教師可以通過(guò)多個(gè)實(shí)例講解語(yǔ)法,效果會(huì)好得多。這就要求老師上課全部現(xiàn)場(chǎng)編寫(xiě)程序,并且故意犯一些學(xué)生經(jīng)常犯的錯(cuò)誤,編程中的錯(cuò)誤基本上都是語(yǔ)法和語(yǔ)句使用不當(dāng)引起的,教師恰好可以利用這些錯(cuò)誤來(lái)講解語(yǔ)法、語(yǔ)句。這門(mén)課程的硬件基礎(chǔ)是FPGA和CPLD芯片,CPLD/FPGA內(nèi)部沒(méi)有CPU,只需要用1課時(shí)簡(jiǎn)單講解即可,并不需要詳細(xì)分析。因?yàn)閷?duì)于設(shè)計(jì)者而言只要會(huì)用這些芯片即可,而且CPLD/FPGA芯片本身就像一張白紙,只要學(xué)生的單片機(jī)和硬件描述語(yǔ)言足夠好,學(xué)生就可以任意發(fā)揮,所以本課程的關(guān)鍵還是掌握VHDL語(yǔ)言本身。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

二、理論教學(xué)環(huán)節(jié)的項(xiàng)目化實(shí)施Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.通過(guò)最簡(jiǎn)單的例子引導(dǎo)學(xué)生入門(mén)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

以二極管閃爍為例,分成幾個(gè)層次,一步一步引導(dǎo)學(xué)生入門(mén)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

先問(wèn)學(xué)生:如何讓一個(gè)二極管亮?學(xué)生學(xué)過(guò)數(shù)字電路,知道當(dāng)二極管的陽(yáng)極為高電平且陰極為低電平時(shí)二極管亮。然后講解在VHDL語(yǔ)言里是如何實(shí)現(xiàn)的,接著現(xiàn)場(chǎng)編寫(xiě)程序,最后下載到開(kāi)發(fā)板上并且演示。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

接著問(wèn):如何讓二極管閃爍呢?當(dāng)陰極接地且陽(yáng)極的高、低電平等間隔出現(xiàn)時(shí)二極管閃爍,在VHDL里如何實(shí)現(xiàn)呢?在剛才程序里稍作修改即可,同樣要演示給學(xué)生看,并可順勢(shì)講一點(diǎn)這個(gè)程序里出現(xiàn)的語(yǔ)法和學(xué)習(xí)VHDL語(yǔ)言的一些注意事項(xiàng),如工程名、頂層文件名和實(shí)體名要一致,命名時(shí)字母的大小寫(xiě)沒(méi)有區(qū)別等。做到在不知不覺(jué)中自然而然地講解語(yǔ)法知識(shí),學(xué)生記得也牢固。[2]Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

為了讓學(xué)生語(yǔ)法學(xué)得更扎實(shí),所有程序教師都隨堂編寫(xiě),一邊編程序一邊講解語(yǔ)法語(yǔ)句,還可以插入算法的講解。經(jīng)過(guò)實(shí)踐,筆者發(fā)現(xiàn)現(xiàn)場(chǎng)編程的講解方法可以讓學(xué)生較好地記住一些難以理解的語(yǔ)法、詞法和算法。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.設(shè)計(jì)巧妙的項(xiàng)目引導(dǎo)學(xué)生深入學(xué)習(xí)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在開(kāi)展項(xiàng)目化教學(xué)時(shí),項(xiàng)目的設(shè)計(jì)非常關(guān)鍵,設(shè)計(jì)的好壞直接影響教學(xué)效果。項(xiàng)目設(shè)計(jì)應(yīng)遵循如下原則:一是圍繞課程的重點(diǎn)展開(kāi),項(xiàng)目?jī)?nèi)容應(yīng)覆蓋基本的教學(xué)知識(shí)點(diǎn)。二是為了調(diào)動(dòng)學(xué)生的積極性,應(yīng)盡量選擇學(xué)生感興趣的項(xiàng)目。三是難度適中,項(xiàng)目過(guò)于簡(jiǎn)單,學(xué)生會(huì)很快完成,達(dá)不到思考的效果;太難,支撐的理論知識(shí)就會(huì)過(guò)多,教學(xué)組織比較困難,不能達(dá)到預(yù)期的教學(xué)效果。VHDL語(yǔ)言及應(yīng)用共48課時(shí),其中12節(jié)為實(shí)驗(yàn)課,理論學(xué)時(shí)只有36課時(shí),需要用4課時(shí)按照傳統(tǒng)的教學(xué)方式講解一些在項(xiàng)目中不好講解的知識(shí),如CPLD/FPGA的硬件知識(shí)、VHDL語(yǔ)言的部分語(yǔ)法等,故選擇9個(gè)小項(xiàng)目講解各種知識(shí)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在9個(gè)項(xiàng)目講解的過(guò)程中,還要教會(huì)學(xué)生如何閱讀英文datasheets。在進(jìn)行真正的項(xiàng)目設(shè)計(jì)時(shí),必定要用到不少陌生的芯片,要想會(huì)用這些芯片,必須看它們的datasheets。datasheets總是長(zhǎng)達(dá)幾十頁(yè),學(xué)生不容易看懂,需要教師引導(dǎo)他們?nèi)绾巫プ∑渲械闹攸c(diǎn),快速準(zhǔn)確閱讀。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在項(xiàng)目的講解和設(shè)計(jì)后期,教師作為領(lǐng)隊(duì)將學(xué)生分成小組學(xué)習(xí),分組合作的學(xué)習(xí)方法激發(fā)了學(xué)生學(xué)習(xí)的動(dòng)力,增強(qiáng)了各成員的責(zé)任感。互相之間積極配合、互動(dòng),培養(yǎng)學(xué)生多角度、多渠道獲得信息,有利于促進(jìn)學(xué)生發(fā)展,完善自我,也將學(xué)生評(píng)價(jià)變成了主動(dòng)參與、自我反思、自我教育的過(guò)程。[3]Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

三、實(shí)踐教學(xué)環(huán)節(jié)的項(xiàng)目化改革Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.布置型教學(xué)改為開(kāi)放式教學(xué)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

傳統(tǒng)的實(shí)驗(yàn)教學(xué)是教師講解實(shí)驗(yàn)原理,然后演示一下實(shí)驗(yàn),學(xué)生只需按實(shí)驗(yàn)講義中的步驟進(jìn)行,“依葫蘆畫(huà)瓢”,通過(guò)反復(fù)訓(xùn)練讓學(xué)生形成一定的基本技能。這種實(shí)驗(yàn)教學(xué)模式,學(xué)生動(dòng)手機(jī)會(huì)少,不能自主學(xué)習(xí),不利于個(gè)性發(fā)展,阻礙了學(xué)生的學(xué)習(xí)積極性、主動(dòng)性、創(chuàng)造性以及現(xiàn)代科學(xué)思維方式的形成。[4]學(xué)生進(jìn)入實(shí)驗(yàn)室后,教師可以提供大量實(shí)驗(yàn),讓學(xué)生根據(jù)各自興趣選擇想做的實(shí)驗(yàn)。也可以由學(xué)生根據(jù)教學(xué)要求自己擬定實(shí)驗(yàn)內(nèi)容,教師加以適當(dāng)指導(dǎo),減少實(shí)驗(yàn)的盲目性,使學(xué)生真正參與到實(shí)驗(yàn)中來(lái),提高實(shí)驗(yàn)教學(xué)效果,培養(yǎng)學(xué)生的動(dòng)手能力和創(chuàng)新思維能力。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

學(xué)生的實(shí)驗(yàn)成績(jī)采取過(guò)程記錄法,教師為每一位學(xué)生準(zhǔn)備一張記錄卡,實(shí)時(shí)記錄學(xué)生的實(shí)驗(yàn)情況。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.統(tǒng)一實(shí)驗(yàn)變?yōu)閷哟位瘜?shí)驗(yàn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

另外傳統(tǒng)的實(shí)驗(yàn)教學(xué)中,所有學(xué)生做的實(shí)驗(yàn)是一樣的。實(shí)際上學(xué)生到了大三,基礎(chǔ)已各不相同,可以根據(jù)學(xué)生的基礎(chǔ)采取層次化實(shí)驗(yàn)教學(xué)。教師可以根據(jù)學(xué)生的具體情況將一個(gè)班分為4組,假設(shè)1組的學(xué)生基礎(chǔ)最好,2組次之,4組最差;每組的學(xué)生人數(shù)可以不一樣多,該課程成績(jī)想得優(yōu)秀的學(xué)生必須選擇1組或2組。針對(duì)4組學(xué)生要求教師準(zhǔn)備4套實(shí)驗(yàn)內(nèi)容供學(xué)生選擇,每組的要求不一樣,具體安排如表2所示。因?yàn)辂}城師范學(xué)院要求一次實(shí)驗(yàn)為25人左右(半個(gè)班級(jí)),所以教師需要同時(shí)指導(dǎo)2組學(xué)生,實(shí)際操作時(shí)可以1組和4組一起做實(shí)驗(yàn),2組和3組一起做實(shí)驗(yàn)。如果教師愿意加班,第一次實(shí)驗(yàn)可以只輔導(dǎo)一組學(xué)生,第二次及以后就可以2個(gè)組同時(shí)做實(shí)驗(yàn),交叉輔導(dǎo)了。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

對(duì)于基礎(chǔ)較差的第4組同學(xué),實(shí)驗(yàn)難度不宜太大,要留給學(xué)生一定的思考空間。同樣是設(shè)計(jì)性實(shí)驗(yàn),不同組別的具體內(nèi)容也可以不同,1組難度加大,而4組則簡(jiǎn)單一些。如此訓(xùn)練下來(lái),學(xué)生各有所得,不管基礎(chǔ)好壞,總能學(xué)到一定的知識(shí),甚至有助于基礎(chǔ)差的學(xué)生學(xué)到更多的知識(shí)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

四、考核方式改革Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

項(xiàng)目化教學(xué)需要改變傳統(tǒng)教學(xué)中一張?jiān)嚲矶ǔ煽?jī)的考核辦法,[5]學(xué)生成績(jī)主要由項(xiàng)目的設(shè)計(jì)決定,要注意到學(xué)生的設(shè)計(jì)思路。理論知識(shí)學(xué)完后教師可以選擇幾個(gè)綜合性項(xiàng)目,學(xué)生從中任選一個(gè)進(jìn)行設(shè)計(jì),這樣可以培養(yǎng)學(xué)生發(fā)現(xiàn)問(wèn)題和解決問(wèn)題的能力,也可提高其設(shè)計(jì)能力。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

五、小結(jié)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

本文根據(jù)VHDL語(yǔ)言及應(yīng)用的特點(diǎn),探索了適于它的項(xiàng)目化教學(xué)。通過(guò)項(xiàng)目化教學(xué),廢除注入式教學(xué)方法,建立以學(xué)生為本,教師啟發(fā)引導(dǎo)的教學(xué)方法。通過(guò)一個(gè)個(gè)項(xiàng)目和層次化實(shí)驗(yàn),學(xué)生不僅可以熟悉VHDL語(yǔ)言的基本語(yǔ)法和常用語(yǔ)句,還對(duì)硬件描述語(yǔ)言的本質(zhì)有了深刻的認(rèn)識(shí),對(duì)所設(shè)計(jì)的電路有深刻理解,在此基礎(chǔ)上才能更好地使用VHDL語(yǔ)言進(jìn)行電路設(shè)計(jì)。通過(guò)多個(gè)項(xiàng)目的訓(xùn)練,學(xué)生的設(shè)計(jì)能力、創(chuàng)造能力和團(tuán)隊(duì)合作能力都可以得到很大提高,因此說(shuō)項(xiàng)目化教學(xué)是應(yīng)用性強(qiáng)的課程的首選教學(xué)方法。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn):Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1]胡小玲,翟秀艷,袁穎.VHDL的模塊化教學(xué)方法反思與總結(jié)[J].中國(guó)電力教育,2013,29(2):112-113.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2]周殿鳳.片上可編程系統(tǒng)項(xiàng)目化教學(xué)探討[J].輕工科技,2013,Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

15(5):190-191.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[3]姚培.教師在理實(shí)一體化教學(xué)學(xué)生評(píng)價(jià)中的角色研究[J].中國(guó)電力教育,2013,29(25):180-181.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[4]張乃群,龐發(fā)虎,李運(yùn)賢.分層次開(kāi)放性實(shí)驗(yàn)教學(xué)模式探索[J].南陽(yáng)師范學(xué)院學(xué)報(bào),2009,8(6):105-108.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第7篇

【關(guān)鍵詞】數(shù)字電路;傳統(tǒng)方式;VHDLJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.引言Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

隨著計(jì)算機(jī)以及大規(guī)模集成電路應(yīng)用的普及,電子行業(yè)正在迅速的發(fā)展。目前采用小中規(guī)模的數(shù)字電路邏輯設(shè)計(jì)已經(jīng)不能滿(mǎn)足數(shù)字電子技術(shù)發(fā)展的需要。例如傳統(tǒng)的TTL電路或者COMS電路設(shè)計(jì)任務(wù)繁瑣,設(shè)計(jì)效率低,所以迫切的需要我們做出調(diào)整,適應(yīng)社會(huì)對(duì)數(shù)字電子技術(shù)發(fā)展的要求。伴隨著集成電子工藝的發(fā)展,新型的邏輯器件也應(yīng)運(yùn)而生,到目前為止,市場(chǎng)上的邏輯器件大致可分為三類(lèi):第一是標(biāo)準(zhǔn)的邏輯芯片如COMS/TTL等系列芯片;第二是微型計(jì)算機(jī)芯片和各種微處理器;第三種就是應(yīng)用規(guī)格芯片ASIC,其中ASIC芯片中就有我們接下來(lái)介紹的可編程邏輯器件(PLD)[1]。而使用可編程邏輯芯片就必須要求我們掌握編寫(xiě)VHDL語(yǔ)言的技術(shù)。這種設(shè)計(jì)數(shù)字電路實(shí)驗(yàn)的方法大大克服了傳統(tǒng)數(shù)字電路設(shè)計(jì)出現(xiàn)的缺點(diǎn),更加適應(yīng)現(xiàn)在社會(huì)的發(fā)展。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2.傳統(tǒng)數(shù)字電路設(shè)計(jì)優(yōu)缺點(diǎn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

傳統(tǒng)的數(shù)字電路設(shè)計(jì)過(guò)程大致經(jīng)過(guò)以下幾個(gè)步驟:一是分析問(wèn)題畫(huà)出狀態(tài)轉(zhuǎn)換圖以及狀態(tài)轉(zhuǎn)換表,二是進(jìn)行狀態(tài)化簡(jiǎn),三是狀態(tài)編碼,四是寫(xiě)出輸入方程、驅(qū)動(dòng)方程以及輸出方程,五是畫(huà)出邏輯電路圖,經(jīng)過(guò)這一系列步驟之后,還要在電路板上焊接電路,或者在面包板上拼接電路。傳統(tǒng)的設(shè)計(jì)方法是數(shù)字電路設(shè)計(jì)的基礎(chǔ),它的優(yōu)點(diǎn)是能夠反映了數(shù)字電路的基本工作原理,系統(tǒng)內(nèi)部構(gòu)成的各個(gè)細(xì)節(jié)也能夠很直觀的反映出來(lái),各部分之間的聯(lián)系顯而易見(jiàn)。因此,通過(guò)對(duì)設(shè)計(jì)的原理圖的觀察我們可以驗(yàn)證系統(tǒng)的合理性,同時(shí)也奠定了數(shù)字電路設(shè)計(jì)的基礎(chǔ)。它的缺點(diǎn)是設(shè)計(jì)步驟復(fù)雜,在整個(gè)過(guò)程中需要用到大量的芯片和連線(xiàn)。而且傳統(tǒng)的方法出錯(cuò)率高而且不易修復(fù),在焊接電路板的時(shí)候如果不注意就會(huì)導(dǎo)致接觸不良或者出現(xiàn)某個(gè)芯片損壞的情況,這就導(dǎo)致整個(gè)電路板都不起作用。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

3.PLD器件芯片的出現(xiàn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

PLD又稱(chēng)可編程邏輯器件,PLD芯片上的金屬引線(xiàn)和電路都是廠家做好的,但是器件的邏輯功能在出廠時(shí)是沒(méi)有確定的,用戶(hù)可以根據(jù)自己的需要合理的編程設(shè)計(jì)確定想要的功能。而編程用到的語(yǔ)言就是我們接下來(lái)要介紹的VHDL語(yǔ)言。目前PLD器件芯片具有微處理器靈活等優(yōu)點(diǎn),芯片的引腳也從一開(kāi)始的20多個(gè)引腳發(fā)展到現(xiàn)在的200引腳[2]??删幊踢壿嬈骷某霈F(xiàn)從很大程度上使得數(shù)字電路設(shè)計(jì)發(fā)生了根本性變革。采用PLD設(shè)計(jì)電路不再是對(duì)電路板設(shè)計(jì),而是對(duì)芯片設(shè)計(jì),使之實(shí)現(xiàn)我們預(yù)想的功能。一般的PLD的集成度很高,可以滿(mǎn)足一般的數(shù)字系統(tǒng)的需要。設(shè)計(jì)人員只需要自己編程到一塊PLD上,而不用去供應(yīng)商那兒買(mǎi)特定功能的芯片。我們可以對(duì)芯片內(nèi)部的邏輯和外部的引腳進(jìn)行設(shè)計(jì)。這樣就克服了傳統(tǒng)方式中對(duì)電路板進(jìn)行焊接所花費(fèi)的大量時(shí)間,克服了工作量大,難以調(diào)試等缺點(diǎn),用戶(hù)只需要編寫(xiě)適當(dāng)合理的程序就可以實(shí)現(xiàn)預(yù)想的功能。如此大大簡(jiǎn)化了設(shè)計(jì)步驟,更加適應(yīng)社會(huì)發(fā)展的需要。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

4.VHDL簡(jiǎn)介Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL語(yǔ)言是一種應(yīng)用于描述數(shù)字系統(tǒng)的功能、結(jié)構(gòu)和接口的語(yǔ)言。VHDL含有許多具有硬件特征的語(yǔ)句而且語(yǔ)言的描述也更類(lèi)似于一般計(jì)算機(jī)的高級(jí)語(yǔ)言。在編程上簡(jiǎn)單可行性高。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱(chēng)設(shè)計(jì)實(shí)體。分成外部和內(nèi)部,在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,當(dāng)其內(nèi)部開(kāi)發(fā)完成后,其他的設(shè)計(jì)也能夠直接調(diào)用這個(gè)實(shí)體。VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)是將設(shè)計(jì)實(shí)體分成內(nèi)外部分。VHDL語(yǔ)言之所以能夠成為標(biāo)準(zhǔn)化的硬件描述語(yǔ)言并且獲得廣泛應(yīng)用,正是因?yàn)樗旧砭哂衅渌布枋稣Z(yǔ)言不具有的優(yōu)點(diǎn)。歸納起來(lái),VHDL語(yǔ)言主要具有以下優(yōu)點(diǎn):Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(1)VHDL語(yǔ)言設(shè)計(jì)多樣:VHDL語(yǔ)言結(jié)構(gòu)很強(qiáng)大,而且設(shè)計(jì)方法多樣,既支持層次化設(shè)計(jì)也支持模塊化設(shè)計(jì),既可以采用自頂向下設(shè)計(jì)方式,也可以采用自底向上的設(shè)計(jì)方法。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(2)VHDL語(yǔ)言的設(shè)計(jì)是針對(duì)于芯片而并非器件,傳統(tǒng)的硬件拼接的方法針對(duì)的是器件,但是VHDL語(yǔ)言是直接對(duì)芯片而言的,在設(shè)計(jì)電路時(shí),用戶(hù)可以不必考慮所選用的器件。設(shè)計(jì)者也可以不必考慮系統(tǒng)硬件結(jié)構(gòu),而進(jìn)行獨(dú)立的設(shè)計(jì)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(3)VHDL語(yǔ)言可移植性強(qiáng),對(duì)于同一個(gè)硬件的VHDL語(yǔ)言來(lái)說(shuō),它可以從一個(gè)工作平臺(tái)移植到另一個(gè)工作平臺(tái)上。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(4)VHDL語(yǔ)言有非常豐富的庫(kù)函數(shù)和仿真語(yǔ)句,用戶(hù)可以隨時(shí)對(duì)系統(tǒng)進(jìn)行仿真。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

由此可見(jiàn)傳統(tǒng)方式與應(yīng)用VHDL的區(qū)別有以下幾點(diǎn):第一,傳統(tǒng)的方法采用自上至下的設(shè)計(jì)方式,而應(yīng)用VHDL語(yǔ)言則采用自下至上的設(shè)計(jì)方法;第二,傳統(tǒng)方式采用的是通用的邏輯元器件,系統(tǒng)硬件的后期進(jìn)行調(diào)試和仿真,而應(yīng)用VHDL語(yǔ)言采用的芯片則是PLD(可編程邏輯器件),系統(tǒng)的設(shè)計(jì)早期進(jìn)行調(diào)試與仿真;第三,傳統(tǒng)的設(shè)計(jì)方式主要采用電路原理圖的方式設(shè)計(jì),而本文提出的設(shè)計(jì)方式主要?jiǎng)t以VHDL語(yǔ)言描述為主,從而降低了硬件設(shè)計(jì)電路的難度。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

5.VHDL語(yǔ)言結(jié)構(gòu)及語(yǔ)言設(shè)計(jì)步驟Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL語(yǔ)言結(jié)構(gòu)由library(庫(kù))定義區(qū),entity(實(shí)體)定義區(qū),architecture(構(gòu)造體)定義區(qū)package(包集合)configuration(配置)組成,其中l(wèi)ibrary,entity和architecture也是一個(gè)VHDL語(yǔ)言所必有的。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL語(yǔ)言設(shè)計(jì)步驟大致可以分為以下三步:第一,分析系統(tǒng)結(jié)構(gòu)并劃分模塊;第二,輸入VHDL語(yǔ)言的代碼,編寫(xiě)程序,并且將其編譯,在此過(guò)程中如果有錯(cuò)誤要及時(shí)修正;第三,對(duì)編譯的后的VHDL文件進(jìn)行仿真。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

6.VHDL舉例Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

下面介紹一個(gè)簡(jiǎn)單分頻器的例子:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Library ieee;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

use ieee.std_logic_1164.all;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

use ieee.std_logic_unsigned.all;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

entity fenpin isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

port(clk,clear:in std_logic;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

q:out_std_logic);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end fenpin;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

architecture behave of fenpin isJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

signal m:interge range 0 to11Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

p1:process(clear,clk)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if clear =’0’then m<=’0’;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

elsif “clk event and clk=’1’”thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if m=11 then m<=’0’;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

else m<=m+1;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process p1;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

p2:process(m)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if temp<6 then q<=’0’;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

else q<=’1’;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process p2;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end behave;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

由例題可以看出,在設(shè)計(jì)分頻器是可以不用硬件搭連的方式,用VHDL語(yǔ)言進(jìn)行編程更簡(jiǎn)單易行。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

7.小結(jié)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

本文針對(duì)目前傳統(tǒng)數(shù)字電路設(shè)計(jì)中存在的若干弊端,提出用VHDL語(yǔ)言編寫(xiě)適當(dāng)合理程序來(lái)設(shè)計(jì)數(shù)字電路實(shí)驗(yàn)的方法,避免了硬件電路中若干繁瑣的問(wèn)題,使得系統(tǒng)簡(jiǎn)單明了,可維護(hù)性強(qiáng),芯片也可以反復(fù)使用。傳統(tǒng)的硬件設(shè)計(jì)方法已不能滿(mǎn)足現(xiàn)代電子工業(yè)的發(fā)展,在數(shù)字電路的應(yīng)用中,VHDL語(yǔ)言必將會(huì)被廣泛的使用。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1]張有志.可編程邏輯器件PLD原理與應(yīng)用[M].北京:中國(guó)鐵道出版社,1996:1-3,91Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2]盧毅,賴(lài)杰.VHDL與數(shù)字電路設(shè)計(jì)[M].北京:科學(xué)出版社,2002.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第8篇

關(guān)鍵詞:狀態(tài)機(jī);Mealy型狀態(tài)機(jī);VHDL語(yǔ)言Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

中圖分類(lèi)號(hào):TP391 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1007-9599 (2012) 13-0000-02Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

數(shù)字電路分為組合邏輯電路和時(shí)序邏輯電路。組合邏輯電路的輸出只與當(dāng)前輸入有關(guān),時(shí)序邏輯電路的輸出不僅與當(dāng)前輸入有關(guān),還與過(guò)去的輸入有關(guān)。狀態(tài)機(jī)是一種廣義的時(shí)序電路,它的輸出不僅與當(dāng)前輸入信號(hào)有關(guān),還與當(dāng)前的狀態(tài)有關(guān)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

一、狀態(tài)機(jī)有三個(gè)基本要素:狀態(tài)、輸入、輸出Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

狀態(tài)是狀態(tài)機(jī)中最根本的要素。狀態(tài)機(jī)的最基本特點(diǎn)就是寄存器中存儲(chǔ)的狀態(tài)按照一定的條件或規(guī)律進(jìn)行轉(zhuǎn)移,并輸出特定信號(hào)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

輸入信號(hào)作為狀態(tài)機(jī)狀態(tài)轉(zhuǎn)移的條件,即狀態(tài)機(jī)根據(jù)輸入信號(hào)和當(dāng)前狀態(tài)決定下一個(gè)轉(zhuǎn)移的狀態(tài)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

輸出信號(hào)一般由當(dāng)前狀態(tài)和當(dāng)前輸入信號(hào)決定,也可只由當(dāng)前狀態(tài)決定。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

二、狀態(tài)機(jī)的特點(diǎn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(1)狀態(tài)機(jī)的結(jié)構(gòu)模式相對(duì)簡(jiǎn)單,設(shè)計(jì)方案相對(duì)固定。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(2)狀態(tài)機(jī)的VHDL設(shè)計(jì)層次分明,結(jié)構(gòu)清晰,易讀易懂。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(3)就運(yùn)行速度而言,狀態(tài)機(jī)的每一個(gè)狀態(tài)中可以完成許多并行的運(yùn)算和控制操作。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(4)就可靠性而言,狀態(tài)機(jī)也具有明顯的優(yōu)勢(shì)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

三、狀態(tài)機(jī)的分類(lèi)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

狀態(tài)機(jī)可分為有限狀態(tài)機(jī)和無(wú)限狀態(tài)機(jī),在這里只討論有限狀態(tài)機(jī)。按照輸出信號(hào)是否與輸入信號(hào)有關(guān),可將有限狀態(tài)機(jī)分為Moore型和Mealy型。Moore型狀態(tài)機(jī)的輸出只與當(dāng)前狀態(tài)有關(guān),Mealy型狀態(tài)機(jī)的輸出不僅與當(dāng)前狀態(tài)有關(guān),還與當(dāng)前輸入有關(guān)。Moore型和Mealy型狀態(tài)機(jī)示意圖分別如圖一和圖二所示。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

從輸出的時(shí)序上看,Mealy型狀態(tài)機(jī)的輸出是當(dāng)前狀態(tài)和所有輸入信號(hào)的函數(shù),它的輸出是在輸入變化之后立即發(fā)生的,不依賴(lài)時(shí)鐘的同步。Moore型狀態(tài)機(jī)的輸出僅為當(dāng)前狀態(tài)的函數(shù),狀態(tài)機(jī)的輸入發(fā)生變化還必須與狀態(tài)機(jī)的時(shí)鐘同步。由于Mealy型狀態(tài)機(jī)的輸出與時(shí)鐘不同步,因此很容易在輸出端產(chǎn)生毛刺,造成不可預(yù)料的結(jié)果。因此從穩(wěn)定性的角度講,Moore型狀態(tài)機(jī)比較好;但是從相應(yīng)時(shí)間角度講,Mealy型狀態(tài)機(jī)對(duì)輸入的響應(yīng)最多可比Moore型狀態(tài)機(jī)早一個(gè)時(shí)鐘周期.在工程實(shí)踐中,具體電路有具體的設(shè)計(jì)要求,根據(jù)實(shí)際情況選擇相應(yīng)的狀態(tài)機(jī)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

四、基于狀態(tài)機(jī)的8路彩燈設(shè)計(jì)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在我們的生活中,電子彩燈已成為我們裝點(diǎn)生活常用的電器,電子彩燈控制電路的設(shè)計(jì)要求也越來(lái)越高。要求我們?cè)O(shè)計(jì)的彩燈花燈品種更多,控制更加方便,靈活性高,可靠性高。采用傳統(tǒng)數(shù)字電路設(shè)計(jì)的彩燈控制器電路復(fù)雜,設(shè)計(jì)周期長(zhǎng),精度不高。基于FPGA的電子彩燈設(shè)計(jì)設(shè)計(jì)靈活,功能完善。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

8路彩燈控制系統(tǒng)分為分頻模塊和花型循環(huán)控制模塊。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(1)分頻模塊:我們?cè)谶@里選擇的時(shí)鐘脈沖信號(hào)是50MHz,由于時(shí)鐘脈沖的頻率太高,所以我們未分頻時(shí)看到的是8個(gè)LED燈全亮,而看不到LED燈閃爍的狀態(tài)。我們這時(shí)將50MHz分頻,達(dá)到人視覺(jué)能感覺(jué)的頻率,即可看到LED閃爍的效果。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

分頻程序如下:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(2)花型循環(huán)控制模塊:花型循環(huán)控制模塊是系統(tǒng)的核心部分,它主控著8路彩燈的花型。循環(huán)彩燈控制模塊主要由狀態(tài)機(jī)設(shè)計(jì),用狀態(tài)機(jī)設(shè)計(jì)靈活性強(qiáng)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

按設(shè)計(jì)的要求實(shí)現(xiàn)8種花型:00001111、10000000、01100011、01001111、11000000、11101111、11111111和10010011。用S0,S1,S2,S3,S4,S5,S6,S7來(lái)表示8種不同的狀態(tài)。狀態(tài)圖如下所示。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

用狀態(tài)機(jī)設(shè)計(jì)語(yǔ)句type states來(lái)實(shí)現(xiàn),8路彩燈控制模塊程序如下:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

五、設(shè)計(jì)拓展Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

8路彩燈設(shè)計(jì)系統(tǒng)在實(shí)際應(yīng)用中還可以靈活地修改。如果要改變循環(huán)燈的控制花型,可改變s0,s1,s2,s3,s4,s5,s6,s7中所設(shè)的數(shù)值;如果要改變循環(huán)燈的花型數(shù)量,可改變狀態(tài)機(jī)中s的數(shù)量,如12種花型,可改為s0—s11;還可以修改頻率來(lái)控制花型閃爍的速度。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

六、小結(jié)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

使用VHDL語(yǔ)言進(jìn)行8路彩燈電路設(shè)計(jì),思路簡(jiǎn)單,功能明了,靈活性強(qiáng)。在控制電路中采用狀態(tài)機(jī)設(shè)計(jì),電路控制靈活,功能便于實(shí)現(xiàn),思路簡(jiǎn)潔便于修改。比起用常規(guī)的單片機(jī)設(shè)計(jì)8路彩燈電路更簡(jiǎn)單實(shí)用。此系統(tǒng)可在分頻前在ISE軟件下的ISE simulator上進(jìn)行仿真和驗(yàn)證,并可加上適當(dāng)?shù)募s束條件后,在Spatan3E的開(kāi)發(fā)板驗(yàn)證試驗(yàn)結(jié)果。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn):Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1]Kleitz.W.VHDL數(shù)字電子學(xué)[M].北京:北京希望電子出版社,2008Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2]田耘.VHDL開(kāi)發(fā)精解與實(shí)例剖析[M].北京:電子工業(yè)出版社,2009Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第9篇

【關(guān)鍵詞】VHDL語(yǔ)言 電子設(shè)計(jì) 自動(dòng)化應(yīng)用Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

中圖分類(lèi)號(hào):F407文獻(xiàn)標(biāo)識(shí)碼: AJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

一、前言Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

近些年,隨著電子技術(shù)及計(jì)算機(jī)技術(shù)的不斷發(fā)展,使用原來(lái)的方法進(jìn)行系統(tǒng)及芯片的設(shè)計(jì)已經(jīng)不能滿(mǎn)足要求了,需要具有更高效率的設(shè)計(jì)方法,運(yùn)用VHDL語(yǔ)言進(jìn)行電子設(shè)計(jì)就是在這種情況下開(kāi)發(fā)的,而且被越來(lái)越廣泛地應(yīng)用到電子設(shè)計(jì)自動(dòng)化中,顯著地提高了開(kāi)發(fā)效率及產(chǎn)品的可靠性。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

二、電子設(shè)計(jì)自動(dòng)化和VHDL語(yǔ)言概述Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1、電子設(shè)計(jì)自動(dòng)化概述。電子設(shè)計(jì)自動(dòng)化又稱(chēng)為EDA技術(shù),它是在上世紀(jì)70年代的集成電路技術(shù)茂盛發(fā)展下誕生的,與集成電路的復(fù)雜度是緊密相關(guān)的。在第一代電子設(shè)計(jì)自動(dòng)化EDA中,其主要功能是進(jìn)行圖形編輯交互及設(shè)計(jì)規(guī)則檢查,所要解決的問(wèn)題是進(jìn)行PCB布局布線(xiàn)或者晶體管級(jí)版圖的設(shè)計(jì);第二代電子自動(dòng)化設(shè)計(jì)EDA系統(tǒng),主要包括邏輯圖的設(shè)計(jì)輸入、邏輯綜合、芯片布圖、模擬驗(yàn)證及印刷電路的版布圖等,隨著集成電路尺寸越來(lái)越小、規(guī)模越來(lái)越大、速度及頻率越來(lái)越高、設(shè)計(jì)越來(lái)越復(fù)雜,HDL的設(shè)計(jì)方案應(yīng)運(yùn)而生,隨后具有描述語(yǔ)言的VHDL被提出來(lái)了。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2、VHDL語(yǔ)言概述。VHDL語(yǔ)言是指超高速集成電路的硬件描述語(yǔ)言,它是一種很快的電路設(shè)計(jì)工具,其功能主要包括電路合成、電路描述及電路仿真等電路設(shè)計(jì)工作。VHDL語(yǔ)言是由抽象及具體硬件級(jí)別進(jìn)行描述的工業(yè)標(biāo)準(zhǔn)語(yǔ)言,它已經(jīng)成為了一種通用硬件設(shè)計(jì)的交換媒介,很多工程軟件供應(yīng)商已經(jīng)把VHDL語(yǔ)言當(dāng)做了EDA或CAD軟件的輸入/輸出標(biāo)準(zhǔn),很多EDA廠商還提供了VHDL語(yǔ)言編譯器,同時(shí)在方針工工具、布圖工具及綜合工具中對(duì)VHDL語(yǔ)言提供了支持。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

三、VHDL語(yǔ)言的特點(diǎn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1、VHDL語(yǔ)言具有較強(qiáng)的描述功能,能夠?qū)χС窒到y(tǒng)的行為級(jí)、門(mén)級(jí)及寄存器傳輸級(jí)這三個(gè)層次進(jìn)行設(shè)計(jì),和其它硬件描述語(yǔ)言相比,VHDL語(yǔ)言的行為描述能力更強(qiáng),這種較強(qiáng)的行為描述力能夠有效地避開(kāi)具體器件結(jié)構(gòu)。對(duì)大規(guī)模的電子系統(tǒng)的邏輯行為進(jìn)行描述與設(shè)計(jì),VHDL語(yǔ)言已經(jīng)成為高層次設(shè)計(jì)中的核心,也是它成為了電子設(shè)計(jì)系統(tǒng)領(lǐng)域最好的硬件語(yǔ)言描述。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2、VHDL語(yǔ)言能夠支持大規(guī)模的設(shè)計(jì)分解,及已有設(shè)計(jì)再利用,大規(guī)模的設(shè)計(jì)不可能有一個(gè)人獨(dú)立地完成,需要多個(gè)項(xiàng)目共同的組成,VHDL語(yǔ)言中的設(shè)計(jì)實(shí)體概念、設(shè)計(jì)庫(kù)概念、程序包概念為設(shè)計(jì)的分解及再利用提供了有力的支持。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

3、VHDL語(yǔ)言具有較為豐富的模擬庫(kù)函數(shù)及仿真語(yǔ)句。這使它能夠在任何設(shè)計(jì)系統(tǒng)中,很早地就能對(duì)設(shè)計(jì)系統(tǒng)功能中的可行性進(jìn)行查驗(yàn),并隨時(shí)可以對(duì)設(shè)計(jì)進(jìn)行模擬仿真,將設(shè)計(jì)中的邏輯錯(cuò)誤消除在組裝前,由于大規(guī)模集成電路及應(yīng)用多層的印刷技術(shù)器件組裝完畢之后。很難進(jìn)行修改,這就使得邏輯模擬變得不可缺少,運(yùn)用邏輯模擬還能夠減少成本縮短調(diào)試及設(shè)計(jì)周期。對(duì)于中小規(guī)模的集成電路,僅運(yùn)用模擬就能夠獲得成功數(shù)字系統(tǒng)設(shè)計(jì);而大規(guī)模集成電路,則需要運(yùn)用邏輯模擬進(jìn)行邏輯網(wǎng)絡(luò)設(shè)計(jì)的檢查與分析。邏輯模擬系統(tǒng)對(duì)于集成電路來(lái)說(shuō),是不可缺少的重要手段。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

4、VHDL語(yǔ)言本身生命周期就較長(zhǎng),在VHDL語(yǔ)言設(shè)計(jì)中,并不包含和工藝相關(guān)的信息,其設(shè)計(jì)和最終工藝實(shí)現(xiàn)是無(wú)關(guān)的,能夠使設(shè)計(jì)通過(guò)門(mén)級(jí)仿真之后,在用合適的工具映射到不同的工藝當(dāng)中,當(dāng)工藝進(jìn)行更新時(shí),就不需要進(jìn)行原設(shè)計(jì)的修改了,僅改變映射工具就可以了,對(duì)于已經(jīng)完成的設(shè)計(jì),尤其是和工藝技術(shù)相關(guān)的參數(shù)可以運(yùn)用VHDL語(yǔ)言所提供的類(lèi)屬進(jìn)行描述,或者進(jìn)行子程序功能的調(diào)用,可以在源程序不改變的情況下,僅修改類(lèi)屬的函數(shù)及參量就可以了,這樣就可以改變電子設(shè)計(jì)的規(guī)模及結(jié)構(gòu)了。當(dāng)然在VHDL語(yǔ)言也有些不足之處,像沒(méi)有WAIT語(yǔ)句、不能處理動(dòng)態(tài)結(jié)構(gòu)、不能等待時(shí)序等,但它整體還是有很多優(yōu)點(diǎn)的,并為硬件設(shè)計(jì)帶來(lái)了很大地方便,被很多用戶(hù)所接受,也得到了很多廠商的有力支持。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

四、電子設(shè)計(jì)自動(dòng)化應(yīng)用VHDL語(yǔ)言的開(kāi)發(fā)流程Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL語(yǔ)言的開(kāi)發(fā)流程主要為文本編輯、功能仿真、邏輯綜合、布局布線(xiàn)、時(shí)序仿真及編程下載。其中文本編輯器能夠進(jìn)行VHDL語(yǔ)言環(huán)境的編輯,其文件保存為,功能仿真是指將文件調(diào)入VHDL的仿真軟件中,并進(jìn)行功能的仿真,對(duì)其邏輯功能進(jìn)行檢查以驗(yàn)證是否正確,也稱(chēng)為前仿真,對(duì)于那些相對(duì)簡(jiǎn)單的電子設(shè)計(jì)可以忽略這一步,在布線(xiàn)完成之后直接進(jìn)行時(shí)序仿真:邏輯綜合是指將文件進(jìn)行邏輯綜合并在設(shè)定的約束條件下進(jìn)行綜合。就是把語(yǔ)言綜合成布爾表達(dá)式及信號(hào)連接關(guān)系,綜合之后會(huì)生成,電子設(shè)計(jì)自動(dòng)化的工業(yè)標(biāo)準(zhǔn)文件:布局布線(xiàn)則是將文件調(diào)到PLD廠家所提供的軟件之中進(jìn)行布局布線(xiàn),這樣就可以把已設(shè)計(jì)好的邏輯安放到PLD 內(nèi)了;時(shí)序仿真是指利用布局布線(xiàn)時(shí)所獲得的精確參數(shù)進(jìn)行后仿真的驗(yàn)證:編程下載所指當(dāng)確認(rèn)方針沒(méi)有錯(cuò)誤后,就將文件儲(chǔ)存到目標(biāo)芯片中。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

五、VHDL語(yǔ)言在電子設(shè)計(jì)自動(dòng)化應(yīng)用中的作用Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL語(yǔ)言在電子設(shè)計(jì)自動(dòng)化中的應(yīng)用,能夠有效地打破傳統(tǒng)硬件電路的設(shè)計(jì)界限,借助硬件的描述語(yǔ)言設(shè)計(jì)出與相關(guān)要求相符合的硬件系統(tǒng),運(yùn)用VHDL語(yǔ)言對(duì)電子設(shè)計(jì)自動(dòng)化的應(yīng)用,與C語(yǔ)言的語(yǔ)法類(lèi)型是相似的,具有很好的可讀性,掌握起來(lái)也較為簡(jiǎn)單,運(yùn)用VHDL語(yǔ)言進(jìn)行硬件電路的設(shè)計(jì)打破了原有地先畫(huà)出電路的原理圖,再進(jìn)行元器件及實(shí)際電路定式的搭建,可以靈活地御用VHDL語(yǔ)言描述的硬件電路功能進(jìn)行信號(hào)的連接和定時(shí)關(guān)系,在總體行為的設(shè)計(jì)一直到最終邏輯形成網(wǎng)絡(luò)表的文件,對(duì)于每一步都要進(jìn)行仿真的檢查,在仿真結(jié)果分析中,能夠發(fā)現(xiàn)電子自動(dòng)化系統(tǒng)的設(shè)計(jì)中所存存在的問(wèn)題,這樣更有利于電子設(shè)計(jì)自動(dòng)化應(yīng)用的完整,并且其設(shè)計(jì)效率更高,時(shí)間周期更短,VHDL語(yǔ)言已經(jīng)被廣泛地應(yīng)用在電子設(shè)計(jì)自動(dòng)化中了。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

六、VHDL 語(yǔ)言在電子設(shè)計(jì)自動(dòng)化應(yīng)用中所要注意的問(wèn)題Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1、文件名和實(shí)體名要相同,其后綴均為.Vhd,程序的存儲(chǔ)路徑不能有漢字出現(xiàn),變量要放在結(jié)構(gòu)體之中,變量并不是全局量,僅能在進(jìn)程語(yǔ)句及子程序中進(jìn)行使用。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2、關(guān)于順序語(yǔ)句和并行語(yǔ)句問(wèn)題,要把并行語(yǔ)句直接放人結(jié)構(gòu)體里就可以了,而順序語(yǔ)句就要放在process里了,雖然process自身是并行語(yǔ)句,但它的內(nèi)部確是順序語(yǔ)句。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

3、在條件語(yǔ)句中,條件的覆蓋是不完整的,綜合器會(huì)把多余的鎖存器引入進(jìn)來(lái),一定要對(duì)條件所覆蓋的范圍進(jìn)行考慮,通常的處理方法是加上else語(yǔ)句進(jìn)行條件補(bǔ)全,頂層的文件在進(jìn)行存盤(pán)時(shí),其文件名是不能和底層的文件名相同的。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

七、結(jié)束語(yǔ)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

隨著電子技術(shù)和計(jì)算機(jī)技術(shù)的不斷發(fā)展,電子產(chǎn)品也在迅速發(fā)展著,電子設(shè)計(jì)自動(dòng)化技術(shù)改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)方法及實(shí)現(xiàn)手段,而VHDL國(guó)際標(biāo)準(zhǔn)語(yǔ)言與電子設(shè)計(jì)自動(dòng)化技術(shù)工具的結(jié)合,能夠有效地降低設(shè)計(jì)的風(fēng)險(xiǎn),縮短設(shè)計(jì)的時(shí)間周期,提高設(shè)計(jì)效率,隨著VHDL語(yǔ)言在電子設(shè)計(jì)自動(dòng)化的應(yīng)用越來(lái)越廣泛,并將會(huì)給硬件的設(shè)計(jì)領(lǐng)域帶來(lái)很大的變革。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn):Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1]王鎖萍.電子設(shè)計(jì)自動(dòng)化教程[M].成都:電子科技大學(xué)出版社,2000Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2]丁明威.李引新.黃培中.VHDL與電子自動(dòng)化[J].計(jì)算機(jī)應(yīng)用與研究,1999,13(1):24-25Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[3]平.張振榮.VHDL編程與仿真[M].北京:人民郵電出版社,2000Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[4]萬(wàn)軍華.劉瑞通.基于VHDL的多功能數(shù)字鬧鐘設(shè)計(jì)[J].湖南理工學(xué)院學(xué)報(bào)(自然科學(xué)版),2011(01)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[5]吳德林.數(shù)字邏輯電路在EDA實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)中的仿真設(shè)計(jì)[J].科技信息,2010(21)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

vhdl語(yǔ)言范文第10篇

關(guān)鍵詞:VHDL;CPLD/FPGA;電路設(shè)計(jì);優(yōu)化Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

中圖分類(lèi)號(hào):TP930 文獻(xiàn)標(biāo)識(shí)碼:AJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

文章編號(hào):1004-373X(2010)03-191-03Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Optimized Design of Circuits in VHDL Based on CPLD/FPGAJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

DU Zhichuan,ZHENG JianliJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

(School of Medical Instrument and Food Engineering,University of Shanghai for Science and Technology,Shanghai,200093,China)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Abstract:The optimized design of VHDL is for making full use of hardware resources provided by CPLD/FPGA,making the design suit for certain scale of CPLD/FPGA chip,increasing the system′s speed and reducing system′s costs.The advantages of VHDL language are analysed and the circuit design is optimized from the design idea,the use of statements,coding style.In this paper,serial design methods and the use of E2PROM to optimize the circuit design are proposed,these two methods are proved to be effective in reducing Macro Cell occupied by the program.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Keywords:VHDL;CPLD/FPGA;circuit design;optimizationJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

0 引 言Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語(yǔ)言,是隨著可編程邏輯器件(PLD)的發(fā)展而發(fā)展起來(lái)的[1]。它是一種面向設(shè)計(jì)、多層次的硬件描述語(yǔ)言,是集行為描述、RTL描述、門(mén)級(jí)描述功能為一體的語(yǔ)言,并已成為描述、驗(yàn)證和設(shè)計(jì)數(shù)字系統(tǒng)中最重要的標(biāo)準(zhǔn)語(yǔ)言之一[2,3]。由于VHDL在語(yǔ)法和風(fēng)格上類(lèi)似于高級(jí)編程語(yǔ)言,可讀性好,描述能力強(qiáng),設(shè)計(jì)方法靈活,可移植性強(qiáng),因此它已成為廣大EDA工程師的首選。目前,使用VHDL語(yǔ)言進(jìn)行CPLD/FPGA設(shè)計(jì)開(kāi)發(fā),Altera和Lattice已經(jīng)在開(kāi)發(fā)軟件方面提供了基于本公司芯片的強(qiáng)大開(kāi)發(fā)工具。但由于VHDL設(shè)計(jì)是行為級(jí)設(shè)計(jì),所帶來(lái)的問(wèn)題是設(shè)計(jì)者的設(shè)計(jì)思想與電路結(jié)構(gòu)相脫節(jié),而且其在設(shè)計(jì)思路和編程風(fēng)格等方面也存在差異,這些差異會(huì)對(duì)系統(tǒng)綜合后的電路整體性能產(chǎn)生重要的影響。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在VHDL語(yǔ)言電路優(yōu)化設(shè)計(jì)當(dāng)中,優(yōu)化問(wèn)題主要包括面積優(yōu)化和速度優(yōu)化[4]。面積優(yōu)化是指CPLD/FPGA的資源利用率優(yōu)化,即用盡可能少的片內(nèi)資源實(shí)現(xiàn)更多電路功能;速度優(yōu)化是指設(shè)計(jì)系統(tǒng)滿(mǎn)足一定的速度要求,即用更多的片內(nèi)資源換取更快的處理速度,常用于視頻信號(hào)采集系統(tǒng)和通信系統(tǒng)之中。面積優(yōu)化和速度優(yōu)化通常是一對(duì)矛盾,一般情況下,速度指標(biāo)是首要的,在滿(mǎn)足速度要求的前提下,盡可能實(shí)現(xiàn)面積優(yōu)化[5]。因此,本文結(jié)合在設(shè)計(jì)超聲探傷數(shù)據(jù)采集卡過(guò)程中的CPLD編程經(jīng)驗(yàn),提出串行設(shè)計(jì)、防止不必要鎖存器的產(chǎn)生、使用狀態(tài)機(jī)簡(jiǎn)化電路描述、資源共享[6],利用E2PROM芯片節(jié)省片內(nèi)資源等方法對(duì)VHDL電路進(jìn)行優(yōu)化。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1 VHDL電路優(yōu)化設(shè)計(jì)的方法Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

優(yōu)化設(shè)計(jì)是可編成邏輯設(shè)計(jì)的精華所在,如何節(jié)省所占用的面積、如何提高設(shè)計(jì)的性能是可編成邏輯設(shè)計(jì)的核心,這兩點(diǎn)往往也成為一個(gè)設(shè)計(jì)甚至項(xiàng)目成敗的關(guān)鍵因素[7]。下面結(jié)合超聲探傷數(shù)據(jù)采集卡設(shè)計(jì)過(guò)程中,并基于Altera公司的EPM7192 CPLD芯片的編程經(jīng)歷來(lái)論述VHDL電路的優(yōu)化方法。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.1 采用串行設(shè)計(jì)代替并行設(shè)計(jì)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

串行設(shè)計(jì)是指把原來(lái)單個(gè)時(shí)鐘周期內(nèi)完成的并行操作的邏輯功能分割出來(lái),提取相同的功能單元,在時(shí)間上分時(shí)復(fù)用這些功能單元,在滿(mǎn)足系統(tǒng)速度要求的前提下,用多個(gè)時(shí)鐘周期來(lái)完成單個(gè)時(shí)鐘周期即可完成的功能。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

根據(jù)項(xiàng)目的要求,超聲探傷數(shù)據(jù)采集卡要有5個(gè)模擬通道,每隔125 μs就會(huì)采集到330個(gè)點(diǎn)。如果等5個(gè)超聲通道采樣結(jié)束后再進(jìn)行數(shù)據(jù)處理和傳輸,幾乎是不可能滿(mǎn)足該超聲探傷系統(tǒng)的實(shí)時(shí)性要求,而且數(shù)據(jù)量也遠(yuǎn)遠(yuǎn)超過(guò)ARM板上總線(xiàn)接口的傳輸速率2 MB/s。對(duì)于這么高的實(shí)時(shí)性要求,最好的解決辦法是在CPLD內(nèi)部進(jìn)行數(shù)據(jù)壓縮,即邊采集邊壓縮,以滿(mǎn)足系統(tǒng)使用的ARM板的總線(xiàn)速率要求。經(jīng)過(guò)系統(tǒng)評(píng)估,每個(gè)超聲通道只需保留一個(gè)最大值即可滿(mǎn)足系統(tǒng)的性能要求。在這里,通過(guò)在三個(gè)8位數(shù)A,B,C中找出最大值的例子來(lái)說(shuō)明串行設(shè)計(jì)方法的優(yōu)勢(shì),代碼如下所示。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

程序一:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

signal A:std_logic_vector(7 downto 0);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

signal B:std_logic_vector(7 downto 0);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

signal C:std_logic_vector ( 7 downto 0);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

process(clk)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if( clk′event and clk=′1′) thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

AJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

BJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

CJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

null;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

process(A,B,C)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

variable max : std_logic_vector (7 downto 0);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if(A > B) thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if (A < = C) thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

max :=C;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

max :=A;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if(B < = C)thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

max:=C;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

max:=B;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

程序二:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

signal max : std_logic_vector(7 downto 0);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

process(clk)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

variable temp: std_logic_vector(7 downto 0);Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if( clk′event and clk=′1′ ) thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

temp:=AD_RESULT;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if(temp >= max)thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

maxJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

null;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

null;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

程序一是用并行方法設(shè)計(jì),而程序二是采用串行方法設(shè)計(jì)。從表1的實(shí)驗(yàn)數(shù)據(jù)可見(jiàn),采用串行方法以后,電路的優(yōu)化效果比較明顯。優(yōu)化前,程序一需要消耗38個(gè)宏單元(Micro Cell),一個(gè)時(shí)鐘周期即可完成找最大值操作;優(yōu)化后,實(shí)現(xiàn)相同的邏輯功能程序二僅需要12個(gè)宏單元,但需要3個(gè)時(shí)鐘周期才能完成一次運(yùn)算,優(yōu)化率達(dá)68.4%。值得注意的是,此方法是以速度換取資源的方法,只適用于對(duì)速度要求不高的系統(tǒng)。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

表1 優(yōu)化前后資源使用情況Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

程序名稱(chēng)實(shí)驗(yàn)平臺(tái)器件消耗宏單元個(gè)數(shù) /個(gè)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

程序一程序二Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

Quartus II 7.2EPM7192SQC160-1538Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

12Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.2 防止不必要鎖存器的產(chǎn)生Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在VHDL設(shè)計(jì)中,使用語(yǔ)句不當(dāng)也是導(dǎo)致電路復(fù)雜化的原因之一,這使得綜合后的電路當(dāng)中存在很多不必要的鎖存器,降低電路的工作速度[8]。因此,在設(shè)計(jì)一個(gè)邏輯電路時(shí),設(shè)計(jì)人員應(yīng)該避免由于VHDL使用習(xí)慣的問(wèn)題,無(wú)意識(shí)地在電路中添加不必要的鎖存器。由于IF或者CASE語(yǔ)句較容易引入鎖存器,所以當(dāng)語(yǔ)句的判斷條件不能覆蓋所有可能的輸入值的時(shí)候,邏輯反饋就容易形成一個(gè)鎖存器。當(dāng)然,隨著高級(jí)編譯軟件的出現(xiàn),如Quartus Ⅱ 7.2,這樣的問(wèn)題通過(guò)編譯軟件已經(jīng)得到很好的解決。對(duì)一個(gè)設(shè)計(jì)人員而言,有意識(shí)地防止不必要鎖存器的產(chǎn)生可以加快編譯速度。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在超聲探傷數(shù)據(jù)采集卡VHDL程序設(shè)計(jì)當(dāng)中,涉及10 MHz系統(tǒng)時(shí)鐘clk的同步D觸發(fā)器的設(shè)計(jì),即每當(dāng)clk時(shí)鐘信號(hào)的上升沿到來(lái)時(shí),將輸入信號(hào)in的值賦給輸出信號(hào)out,代碼如下所示。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

程序三:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

process ( clk )Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if ( clk′event and clk=′1′ ) thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

outJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

程序四:Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

process(clk)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

beginJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

if ( clk′event and clk=′1′ ) thenJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

outJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

elseJd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

null;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end if;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

end process;Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

通過(guò)對(duì)比,程序四比程序三只多了一條空語(yǔ)句,但這樣做可有效防止綜合器生成不必要鎖存器,并提高電路的工作速度。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.3 使用狀態(tài)機(jī)簡(jiǎn)化電路描述Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

由于狀態(tài)機(jī)的結(jié)構(gòu)模式簡(jiǎn)單,有相對(duì)固定的設(shè)計(jì)模板,特別是VHDL支持定義符號(hào)化枚舉類(lèi)型狀態(tài),這就為VHDL綜合器充分發(fā)揮其強(qiáng)大的優(yōu)化功能提供了有利條件[9]。因此采用狀態(tài)機(jī)比較容易地設(shè)計(jì)出高性能的時(shí)序邏輯模塊,在超聲探傷數(shù)據(jù)采集卡的CPLD程序設(shè)計(jì)中,使用有限元狀態(tài)機(jī)(FSM)設(shè)計(jì)CY7C4225 1K×16 b FIFO芯片的讀寫(xiě)控制模塊,并且達(dá)到很好的效果,邏輯轉(zhuǎn)換圖如圖1所示。實(shí)踐證明,實(shí)現(xiàn)相同的邏輯功能,使用狀態(tài)機(jī)設(shè)計(jì)能使設(shè)計(jì)的電路得到更好的優(yōu)化。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

圖1 寫(xiě)FIFO邏輯轉(zhuǎn)換圖Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.4 資源共享Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

資源共享的思想是通過(guò)使用數(shù)據(jù)緩沖器或多路選擇器等方法來(lái)共享數(shù)據(jù)通道中占用資源較多的模塊[10],如算法單元。通過(guò)共享電路單元模塊可有效提高芯片內(nèi)部資源的利用率,達(dá)到優(yōu)化電路的目的。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

1.5 利用E2PROM芯片節(jié)省片內(nèi)資源Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

在用VHDL進(jìn)行項(xiàng)目開(kāi)發(fā)的過(guò)程中,經(jīng)常需要存儲(chǔ)一些配置參數(shù)值。理論上講,每存儲(chǔ)一個(gè)字節(jié)的配置參數(shù)需要使用8個(gè)CPLD宏單元,因此在CPLD內(nèi)部存儲(chǔ)這些參數(shù)并不是好的方案,除非使用的CPLD芯片已集成了E2PROM存儲(chǔ)單元。例如,在設(shè)計(jì)超聲探傷系統(tǒng)的數(shù)據(jù)采集卡的過(guò)程中,每個(gè)通道的采樣數(shù)都需要保存在CPLD里面。由于項(xiàng)目初期芯片選型不當(dāng),Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

選擇一款EPM7192S160-15,該款芯片內(nèi)部只有192個(gè)宏單元,因此考慮把參數(shù)存儲(chǔ)在外擴(kuò)的E2PROM芯片24WC02中。經(jīng)實(shí)驗(yàn)證明,在CPLD內(nèi)部實(shí)現(xiàn)┮桓I2C控制器僅需要43個(gè)宏單元。由此可見(jiàn),當(dāng)初始化參數(shù)大于5個(gè)的時(shí)候,通過(guò)外擴(kuò)E2PROM芯片來(lái)存儲(chǔ)配置參數(shù)是可行的,只需在CPLD/FPGA芯片內(nèi)實(shí)現(xiàn)I2C控制器即可方便地讀寫(xiě)E2PROM存儲(chǔ)芯片。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

2 結(jié) 語(yǔ)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

使用VHDL進(jìn)行CPLD/FPGA電路設(shè)計(jì)時(shí),要根據(jù)實(shí)際項(xiàng)目的具體情況,合理地劃分項(xiàng)目功能,并用VHDL實(shí)現(xiàn)相應(yīng)的功能模塊。用模塊來(lái)構(gòu)建系統(tǒng),可有效地優(yōu)化模塊間的結(jié)構(gòu)和減少系統(tǒng)的冗余度,并在模塊設(shè)計(jì)過(guò)程中始終貫徹以上的優(yōu)化設(shè)計(jì)原則,借助于強(qiáng)大的綜合開(kāi)發(fā)軟件進(jìn)行優(yōu)化,才能達(dá)到最優(yōu)化電路的目的。Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

參考文獻(xiàn)Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[1]趙桂青,于會(huì)山,穆健,等.VHDL編碼中面積優(yōu)化探討[J].嵌入式軟件應(yīng)用,2007,23(1):116-117.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[2]吳繼華,王誠(chéng).Altera FPGA/CPLD設(shè)計(jì)[M].北京:人民郵電出版社,2005.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[3]Steve Carison.VHDL Design(Representation & Synthesis)[M].New Jersey:Prentice Hall,2000.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[4]潘松.EDA技術(shù)實(shí)用教程[M].北京:科學(xué)出版社,2004.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[5]沈祖斌.基于FPGA/CPLD的數(shù)字系統(tǒng)設(shè)計(jì)優(yōu)化[J].江漢大學(xué)學(xué)報(bào),2006,34(1):47-49.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[6]Bhasker J.VHDL Primer[M].3rd Edition.NJ:Prentice Hall,1999.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[7]楊鮮艷,王珊珊,李萍,等.VHDL電路優(yōu)化設(shè)計(jì)及方法[J].航船電子工程,2007,(2):112-114.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[8]鄔楊波,王曙光,胡建平.有限狀態(tài)機(jī)的VHDL設(shè)計(jì)及優(yōu)化[J].信息技術(shù),2004,28(1):75-78.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

[9]Volnei A.Pedroni-Circuit Design with VHDL[M].MIT Press,2004.Jd4萬(wàn)博士范文網(wǎng)-您身邊的范文參考網(wǎng)站Vanbs.com

本文鏈接:http://www.lbgj202.com/v-141-2667.htmlvhdl語(yǔ)言范文10篇

聲明:本網(wǎng)頁(yè)內(nèi)容由互聯(lián)網(wǎng)博主自發(fā)貢獻(xiàn),不代表本站觀點(diǎn),本站不承擔(dān)任何法律責(zé)任。天上不會(huì)到餡餅,請(qǐng)大家謹(jǐn)防詐騙!若有侵權(quán)等問(wèn)題請(qǐng)及時(shí)與本網(wǎng)聯(lián)系,我們將在第一時(shí)間刪除處理。

相關(guān)文章:

五四黑板報(bào)內(nèi)容08-10

養(yǎng)老服務(wù)機(jī)構(gòu)防汛應(yīng)急預(yù)案08-10

母親節(jié)溫馨的朋友圈文案10-19

經(jīng)典美文朗誦10-19

最新圖書(shū)館管理員工作總結(jié)報(bào)告 圖書(shū)館管理員工作總結(jié)(七篇)10-26

高級(jí)工程師職稱(chēng)評(píng)定述職報(bào)告08-23

歐姆定律要點(diǎn)總結(jié)范文8篇08-15

幼兒園元旦活動(dòng)邀請(qǐng)函07-17

”兩彈一星“錢(qián)學(xué)森的愛(ài)國(guó)故事09-21

中秋節(jié)兒童猜燈謎及答案09-14

爸媽給孩子的二十一歲生日寄語(yǔ)08-26

新年來(lái)了600字作文07-27

玉屏| 峨眉山市| 长岛县| 泽库县| 鹿泉市| 垣曲县| 平罗县| 德昌县| 正阳县| 鄂尔多斯市| 兰西县| 西藏| 昭通市| 康乐县| 资兴市| 东山县| 泾源县| 新泰市| 涿鹿县| 柳江县| 和顺县| 庆云县| 九龙县| 浮山县| 建宁县| 大姚县| 荃湾区| 务川| 西城区| 深水埗区| 临城县| 河曲县| 阳泉市| 霸州市| 梅河口市| 新干县| 新巴尔虎左旗| 鹤岗市| 昌宁县| 乐平市| 景泰县|